From 9ee0202699e7178f37953d72805c3f86ce2d920b Mon Sep 17 00:00:00 2001 From: liujingjing Date: Mon, 8 Feb 2021 14:20:28 +0800 Subject: [PATCH 1/7] add command parameter override testcases --- suite2cases/easymock | 16 +- suite2cases/libwbxml | 2 + suite2cases/multipath-tools | 5 + suite2cases/nbdkit | 3 + suite2cases/ndisc6 | 9 + suite2cases/pcp | 5 + suite2cases/qt5-qttools | 12 ++ suite2cases/swig | 11 + suite2cases/tcllib | 3 + testcases/cli-test/libwbxml/input.xml | 9 + .../libwbxml/oe_test_libwbxml_wbxml2xml.sh | 193 ++++++++++++++++++ .../libwbxml/oe_test_libwbxml_xml2wbxml.sh | 54 +++++ .../multipath-tools/common_multipath-tools.sh | 76 +++++++ .../oe_test_multipath-tools_kpartx.sh | 61 ++++++ .../oe_test_multipath-tools_mpathconf.sh | 66 ++++++ .../oe_test_multipath-tools_mpathpersist.sh | 56 +++++ .../oe_test_multipath-tools_multipath_01.sh | 71 +++++++ .../oe_test_multipath-tools_multipath_02.sh | 69 +++++++ .../cli-test/nbdkit/oe_test_nbdkit_01.sh | 78 +++++++ .../cli-test/nbdkit/oe_test_nbdkit_02.sh | 83 ++++++++ .../cli-test/nbdkit/oe_test_nbdkit_03.sh | 91 +++++++++ testcases/cli-test/ndisc6/common_ndisc6.sh | 39 ++++ testcases/cli-test/ndisc6/echo.c | 72 +++++++ .../ndisc6/oe_test_ndisc6_addr2name.sh | 59 ++++++ .../ndisc6/oe_test_ndisc6_name2addr.sh | 58 ++++++ .../cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh | 53 +++++ .../cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh | 51 +++++ .../cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh | 62 ++++++ .../ndisc6/oe_test_ndisc6_rltraceroute6.sh | 55 +++++ .../ndisc6/oe_test_ndisc6_tcpspray.sh | 59 ++++++ .../ndisc6/oe_test_ndisc6_tcptraceroute6.sh | 57 ++++++ .../ndisc6/oe_test_ndisc6_tracert6.sh | 55 +++++ testcases/cli-test/pcp/ganglia/bytes_in.rrd | Bin 0 -> 630760 bytes testcases/cli-test/pcp/ganglia/bytes_out.rrd | Bin 0 -> 630760 bytes .../oe_test_pcp_pcp-import-collectl2pcp.sh | 54 +++++ .../pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh | 45 ++++ .../pcp/oe_test_pcp_pcp-import-iostat2pcp.sh | 65 ++++++ .../pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh | 45 ++++ .../pcp/oe_test_pcp_pcp-import-sar2pcp.sh | 50 +++++ testcases/cli-test/qt5-qttools/example.qdoc | 35 ++++ .../cli-test/qt5-qttools/example.qdocconf | 36 ++++ testcases/cli-test/qt5-qttools/hello.cpp | 23 +++ testcases/cli-test/qt5-qttools/hello.h | 17 ++ testcases/cli-test/qt5-qttools/hello.pro | 11 + .../oe_test_qt5-qttools_lconvert-qt5.sh | 97 +++++++++ .../oe_test_qt5-qttools_lrelease-qt5.sh | 61 ++++++ .../oe_test_qt5-qttools_lupdate-qt5_01.sh | 69 +++++++ .../oe_test_qt5-qttools_lupdate-qt5_02.sh | 80 ++++++++ .../oe_test_qt5-qttools_qdbus-qt5.sh | 45 ++++ .../oe_test_qt5-qttools_qdoc_01.sh | 75 +++++++ .../oe_test_qt5-qttools_qdoc_02.sh | 81 ++++++++ .../oe_test_qt5-qttools_qdoc_03.sh | 64 ++++++ .../assistant.qhp | 24 +++ .../oe_test_qt5-qttools_qhelpgenerator-qt5.sh | 53 +++++ .../expect_result | 8 + .../expect_result2 | 3 + .../help.qhcp | 29 +++ .../oe_test_qt5-qttools_qt5-qttools-devel.sh | 63 ++++++ ...t_qt5-qttools_qtattributionsscanner-qt5.sh | 63 ++++++ .../qt_attribution.json | 7 + .../oe_test_qt5-qttools_qtpaths.sh | 75 +++++++ testcases/cli-test/swig/example.i | 14 ++ testcases/cli-test/swig/oe_test_swig_01.sh | 75 +++++++ testcases/cli-test/swig/oe_test_swig_02.sh | 76 +++++++ testcases/cli-test/swig/oe_test_swig_03.sh | 77 +++++++ testcases/cli-test/swig/oe_test_swig_04.sh | 59 ++++++ testcases/cli-test/swig/oe_test_swig_05.sh | 76 +++++++ testcases/cli-test/swig/oe_test_swig_06.sh | 81 ++++++++ testcases/cli-test/swig/oe_test_swig_07.sh | 71 +++++++ testcases/cli-test/swig/oe_test_swig_08.sh | 74 +++++++ testcases/cli-test/swig/oe_test_swig_09.sh | 78 +++++++ testcases/cli-test/swig/oe_test_swig_10.sh | 70 +++++++ testcases/cli-test/swig/oe_test_swig_11.sh | 74 +++++++ testcases/cli-test/tcllib/calculator.peg | 11 + testcases/cli-test/tcllib/example.doc | 9 + .../cli-test/tcllib/oe_test_tcllib_dtplite.sh | 85 ++++++++ .../cli-test/tcllib/oe_test_tcllib_nns.sh | 70 +++++++ .../cli-test/tcllib/oe_test_tcllib_page.sh | 82 ++++++++ 78 files changed, 3945 insertions(+), 8 deletions(-) create mode 100644 suite2cases/libwbxml create mode 100644 suite2cases/multipath-tools create mode 100644 suite2cases/nbdkit create mode 100644 suite2cases/ndisc6 create mode 100644 suite2cases/pcp create mode 100644 suite2cases/qt5-qttools create mode 100644 suite2cases/swig create mode 100644 suite2cases/tcllib create mode 100644 testcases/cli-test/libwbxml/input.xml create mode 100644 testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh create mode 100644 testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh create mode 100644 testcases/cli-test/multipath-tools/common_multipath-tools.sh create mode 100644 testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh create mode 100644 testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh create mode 100644 testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh create mode 100644 testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh create mode 100644 testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh create mode 100644 testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh create mode 100644 testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh create mode 100644 testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh create mode 100644 testcases/cli-test/ndisc6/common_ndisc6.sh create mode 100644 testcases/cli-test/ndisc6/echo.c create mode 100644 testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh create mode 100644 testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh create mode 100644 testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh create mode 100644 testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh create mode 100644 testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh create mode 100644 testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh create mode 100644 testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh create mode 100644 testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh create mode 100644 testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh create mode 100644 testcases/cli-test/pcp/ganglia/bytes_in.rrd create mode 100644 testcases/cli-test/pcp/ganglia/bytes_out.rrd create mode 100644 testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh create mode 100644 testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh create mode 100644 testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh create mode 100644 testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh create mode 100644 testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh create mode 100644 testcases/cli-test/qt5-qttools/example.qdoc create mode 100644 testcases/cli-test/qt5-qttools/example.qdocconf create mode 100644 testcases/cli-test/qt5-qttools/hello.cpp create mode 100644 testcases/cli-test/qt5-qttools/hello.h create mode 100644 testcases/cli-test/qt5-qttools/hello.pro create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/assistant.qhp create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/expect_result create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/expect_result2 create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/help.qhcp create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/qt_attribution.json create mode 100644 testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh create mode 100644 testcases/cli-test/swig/example.i create mode 100644 testcases/cli-test/swig/oe_test_swig_01.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_02.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_03.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_04.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_05.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_06.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_07.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_08.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_09.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_10.sh create mode 100644 testcases/cli-test/swig/oe_test_swig_11.sh create mode 100644 testcases/cli-test/tcllib/calculator.peg create mode 100644 testcases/cli-test/tcllib/example.doc create mode 100644 testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh create mode 100644 testcases/cli-test/tcllib/oe_test_tcllib_nns.sh create mode 100644 testcases/cli-test/tcllib/oe_test_tcllib_page.sh diff --git a/suite2cases/easymock b/suite2cases/easymock index 1e6b32d..a663154 100644 --- a/suite2cases/easymock +++ b/suite2cases/easymock @@ -1,12 +1,12 @@ oe_test_easymock_junit4 -oe_test_easymock_order_abnormal -oe_test_easymock_set_parms -oe_test_easymock_createNiceMock -oe_test_easymock_junit5 -oe_test_easymock_parms_abnormal +oe_test_easymock_order_abnormal +oe_test_easymock_set_parms +oe_test_easymock_createNiceMock +oe_test_easymock_junit5 +oe_test_easymock_parms_abnormal oe_test_easymock_simulate_interface -oe_test_easymock_frequency_abnormal -oe_test_easymock_mock_abnormal -oe_test_easymock_parms_match +oe_test_easymock_frequency_abnormal +oe_test_easymock_mock_abnormal +oe_test_easymock_parms_match oe_test_easymock_simulate_object oe_test_easymock_spring diff --git a/suite2cases/libwbxml b/suite2cases/libwbxml new file mode 100644 index 0000000..cd8ef1d --- /dev/null +++ b/suite2cases/libwbxml @@ -0,0 +1,2 @@ +oe_test_libwbxml_wbxml2xml +oe_test_libwbxml_xml2wbxml diff --git a/suite2cases/multipath-tools b/suite2cases/multipath-tools new file mode 100644 index 0000000..05d8d3e --- /dev/null +++ b/suite2cases/multipath-tools @@ -0,0 +1,5 @@ +oe_test_multipath-tools_kpartx +oe_test_multipath-tools_mpathconf +oe_test_multipath-tools_mpathpersist +oe_test_multipath-tools_multipath_01 +oe_test_multipath-tools_multipath_02 diff --git a/suite2cases/nbdkit b/suite2cases/nbdkit new file mode 100644 index 0000000..f791848 --- /dev/null +++ b/suite2cases/nbdkit @@ -0,0 +1,3 @@ +oe_test_nbdkit_01 +oe_test_nbdkit_02 +oe_test_nbdkit_03 diff --git a/suite2cases/ndisc6 b/suite2cases/ndisc6 new file mode 100644 index 0000000..4dff66a --- /dev/null +++ b/suite2cases/ndisc6 @@ -0,0 +1,9 @@ +oe_test_ndisc6_addr2name +oe_test_ndisc6_name2addr +oe_test_ndisc6_ndisc6 +oe_test_ndisc6_rdisc6 +oe_test_ndisc6_rdnssd +oe_test_ndisc6_rltraceroute6 +oe_test_ndisc6_tcpspray +oe_test_ndisc6_tcptraceroute6 +oe_test_ndisc6_tracert6 diff --git a/suite2cases/pcp b/suite2cases/pcp new file mode 100644 index 0000000..ab072d5 --- /dev/null +++ b/suite2cases/pcp @@ -0,0 +1,5 @@ +oe_test_pcp_pcp-import-collectl2pcp +oe_test_pcp_pcp-import-ganglia2pcp +oe_test_pcp_pcp-import-iostat2pcp +oe_test_pcp_pcp-import-mrtg2pcp +oe_test_pcp_pcp-import-sar2pcp diff --git a/suite2cases/qt5-qttools b/suite2cases/qt5-qttools new file mode 100644 index 0000000..bf3a945 --- /dev/null +++ b/suite2cases/qt5-qttools @@ -0,0 +1,12 @@ +oe_test_qt5-qttools_lconvert-qt5 +oe_test_qt5-qttools_lrelease-qt5 +oe_test_qt5-qttools_lupdate-qt5_01 +oe_test_qt5-qttools_lupdate-qt5_02 +oe_test_qt5-qttools_qdbus-qt5 +oe_test_qt5-qttools_qdoc_01 +oe_test_qt5-qttools_qdoc_02 +oe_test_qt5-qttools_qdoc_03 +oe_test_qt5-qttools_qhelpgenerator-qt5 +oe_test_qt5-qttools_qt5-qttools-devel +oe_test_qt5-qttools_qtattributionsscanner-qt5 +oe_test_qt5-qttools_qtpaths diff --git a/suite2cases/swig b/suite2cases/swig new file mode 100644 index 0000000..57422aa --- /dev/null +++ b/suite2cases/swig @@ -0,0 +1,11 @@ +oe_test_swig_01 +oe_test_swig_02 +oe_test_swig_03 +oe_test_swig_04 +oe_test_swig_05 +oe_test_swig_06 +oe_test_swig_07 +oe_test_swig_08 +oe_test_swig_09 +oe_test_swig_10 +oe_test_swig_11 diff --git a/suite2cases/tcllib b/suite2cases/tcllib new file mode 100644 index 0000000..894b2ca --- /dev/null +++ b/suite2cases/tcllib @@ -0,0 +1,3 @@ +oe_test_tcllib_dtplite +oe_test_tcllib_nns +oe_test_tcllib_page diff --git a/testcases/cli-test/libwbxml/input.xml b/testcases/cli-test/libwbxml/input.xml new file mode 100644 index 0000000..a5abe0f --- /dev/null +++ b/testcases/cli-test/libwbxml/input.xml @@ -0,0 +1,9 @@ + + + + + + MS-WAP-Provisioning-XML + + + diff --git a/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh b/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh new file mode 100644 index 0000000..a0731d6 --- /dev/null +++ b/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh @@ -0,0 +1,193 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/30 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in libwbxml package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL libwbxml + xml2wbxml -o input.wbxml input.xml + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + wbxml2xml -o output.xml input.wbxml + CHECK_RESULT $? + grep -i "Polic" output.xml + CHECK_RESULT $? + diff -w input.xml output.xml + CHECK_RESULT $? + cp output.xml output.xml-bak + wbxml2xml -m 0 -o output.xml input.wbxml + CHECK_RESULT $? + grep "><" output.xml + CHECK_RESULT $? + wbxml2xml -m 1 -o output.xml input.wbxml + CHECK_RESULT $? + diff output.xml output.xml-bak + CHECK_RESULT $? + wbxml2xml -m 2 -o output.xml input.wbxml + CHECK_RESULT $? + grep "><" output.xml + CHECK_RESULT $? + wbxml2xml -i 8 -o output.xml input.wbxml + CHECK_RESULT $? + wc -c output.xml | grep "321" + CHECK_RESULT $? + rm -rf output.xml + wbxml2xml -k -o output.xml input.wbxml + CHECK_RESULT $? + test -f output.xml + CHECK_RESULT $? + wbxml2xml -l WML10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "WML 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l WML11 -o output.xml input.wbxml + CHECK_RESULT $? + grep "WML 1.1" output.xml + CHECK_RESULT $? + wbxml2xml -l WML12 -o output.xml input.wbxml + CHECK_RESULT $? + grep "WML 1.2" output.xml + CHECK_RESULT $? + wbxml2xml -l WML13 -o output.xml input.wbxml + CHECK_RESULT $? + grep "WML 1.3" output.xml + CHECK_RESULT $? + wbxml2xml -l CSP11 -o output.xml input.wbxml + CHECK_RESULT $? + grep "CSP 1.1" output.xml + CHECK_RESULT $? + wbxml2xml -l CSP12 -o output.xml input.wbxml + CHECK_RESULT $? + grep "CSP 1.2" output.xml + CHECK_RESULT $? + wbxml2xml -l WTA10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "WTA 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l WTAWML12 -o output.xml input.wbxml + CHECK_RESULT $? + grep "WTA-WML 1.2" output.xml + CHECK_RESULT $? + wbxml2xml -l CHANNEL11 -o output.xml input.wbxml + CHECK_RESULT $? + grep "CHANNEL 1.1" output.xml + CHECK_RESULT $? + wbxml2xml -l CHANNEL12 -o output.xml input.wbxml + CHECK_RESULT $? + grep "CHANNEL 1.2" output.xml + CHECK_RESULT $? + wbxml2xml -l SI10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "SI 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l SL10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "SL 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l CO10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "CO 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l PROV10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "PROV 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l EMN10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "EMN 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l DRMREL10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "DRMREL 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l SYNCML10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "SyncML 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l DEVINF10 -o output.xml input.wbxml + CHECK_RESULT $? + grep "DevInf 1.0" output.xml + CHECK_RESULT $? + wbxml2xml -l SYNCML11 -o output.xml input.wbxml + CHECK_RESULT $? + grep "SyncML 1.1" output.xml + CHECK_RESULT $? + wbxml2xml -l DEVINF11 -o output.xml input.wbxml + CHECK_RESULT $? + grep "DevInf 1.1" output.xml + CHECK_RESULT $? + wbxml2xml -l METINF11 -o output.xml input.wbxml + CHECK_RESULT $? + grep "MetInf 1.1" output.xml + CHECK_RESULT $? + wbxml2xml -l SYNCML12 -o output.xml input.wbxml + CHECK_RESULT $? + grep "SyncML 1.2" output.xml + CHECK_RESULT $? + wbxml2xml -l DEVINF12 -o output.xml input.wbxml + CHECK_RESULT $? + grep "DevInf 1.2" output.xml + CHECK_RESULT $? + wbxml2xml -l METINF12 -o output.xml input.wbxml + CHECK_RESULT $? + grep "MetInf 1.2" output.xml + CHECK_RESULT $? + wbxml2xml -l DMDDF12 -o output.xml input.wbxml + CHECK_RESULT $? + grep "DM-DDF 1.2" output.xml + CHECK_RESULT $? + wbxml2xml -l OTA -o output.xml input.wbxml + CHECK_RESULT $? + grep "SYSTEM" output.xml + CHECK_RESULT $? + wbxml2xml -l AIRSYNC -o output.xml input.wbxml + CHECK_RESULT $? + grep "AirSync" output.xml + CHECK_RESULT $? + wbxml2xml -l ACTIVESYNC -o output.xml input.wbxml + CHECK_RESULT $? + grep "ActiveSync" output.xml + CHECK_RESULT $? + wbxml2xml -l CONML -o output.xml input.wbxml + CHECK_RESULT $? + grep "ConML" output.xml + CHECK_RESULT $? + rm -rf output.xml + wbxml2xml -c ASCII -o output.xml input.wbxml + CHECK_RESULT $? + test -f output.xml + CHECK_RESULT $? + diff -w input.xml output.xml + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE libwbxml + del_file=$(ls | grep -vE ".sh|input.xml") + rm -rf ${del_file} + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh b/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh new file mode 100644 index 0000000..1505828 --- /dev/null +++ b/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh @@ -0,0 +1,54 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/30 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in libwbxml package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL libwbxml + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + xml2wbxml -o output.wbxml input.xml + CHECK_RESULT $? + test -f output.wbxml + CHECK_RESULT $? + cp output.wbxml input.wbxml + xml2wbxml -k -n -v 1.1 -o output.wbxml input.xml + CHECK_RESULT $? + strings output.wbxml | grep "EFGH" + CHECK_RESULT $? 1 + xml2wbxml -a input.xml -o output.wbxml + CHECK_RESULT $? + strings output.wbxml | grep "MICROSOFT" + CHECK_RESULT $? 1 + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE libwbxml + del_file=$(ls | grep -vE ".sh|input.xml") + rm -rf ${del_file} + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/multipath-tools/common_multipath-tools.sh b/testcases/cli-test/multipath-tools/common_multipath-tools.sh new file mode 100644 index 0000000..acdee3b --- /dev/null +++ b/testcases/cli-test/multipath-tools/common_multipath-tools.sh @@ -0,0 +1,76 @@ +#!/usr/bin/bash +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. +#################################### +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2021/01/11 +# @License : Mulan PSL v2 +# @Desc : Public class integration +##################################### + +source "$OET_PATH/libs/locallibs/common_lib.sh" +function deploy_env() { + share_arg + SSH_CMD "lsblk | grep disk | sed -n 2p | awk '{print \$1}'" ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} | tail -n 1 | sed 's/\r//' >/tmp/disk1 + TEST_DISK1=/dev/$(cat /tmp/disk1) + echo ${TEST_DISK1} + SSH_CMD "dnf install -y scsi-target-utils; + echo -e 'n\np\n1\n\n+2000M\nw' | fdisk ${TEST_DISK1}; + echo -e '\nbacking-store ${TEST_DISK1}\n' >>/etc/tgt/targets.conf; + systemctl restart tgtd; + systemctl stop firewalld; + " ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} + DNF_INSTALL "iscsi-initiator-utils multipath-tools device-mapper-event device-mapper" + systemctl restart iscsid + iscsiadm -m discovery -t sendtargets -p ${NODE2_IPV4} + iscsiadm -m node -T iqn.2013-12.com.make:ws.httpd -l + mpathconf --enable --with_multipathd y + service multipathd start + multipath -v2 + multipath -ll + echo " +defaults { + user_friendly_names yes + max_fds max + queue_without_daemon no + flush_on_last_del yes +} + +devices { + device { + vendor \"IET \" + product \"VIRTUAL-DISK\" + path_grouping_policy multibus + getuid_callout \"/sbin/scsi_id -g -u -s/block/%n\" + path_checker directio + path_selector \"round-robin 0\" + hardware_handler \"0\" + failback 15 + rr_weight priorities + no_path_retry queue + rr_min_io 100 + product_blacklist LUNZ + } +}" >/etc/multipath.conf + modprobe dm_multipath + service multipathd restart + chkconfig --level 345 multipathd on +} + +function clear_env() { + share_arg + SSH_CMD "dnf remove -y scsi-target-utils; + echo -e 'd\nw\n' | fdisk ${TEST_DISK1}" ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} + iscsiadm -m node --logoutall=all + multipath -F + DNF_REMOVE "multipath-tools iscsi-initiator-utils device-mapper-event" + del_file=$(ls | grep -vE ".sh") + rm -rf ${del_file} /tmp/disk1 +} diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh new file mode 100644 index 0000000..f4a4f6f --- /dev/null +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh @@ -0,0 +1,61 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/20 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in kpartx binary package +# ############################################ + +source "common_multipath-tools.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + TEST_DISK2=$(lsblk | grep disk | sed -n 1p | awk '{print $1}') + kpartx -a -f -v /dev/${TEST_DISK2} | grep " map ${TEST_DISK2}1" + CHECK_RESULT $? + kpartx -u /dev/${TEST_DISK2} + CHECK_RESULT $? + lsblk | grep ${TEST_DISK2}1 + CHECK_RESULT $? + kpartx -l /dev/${TEST_DISK2} | grep "${TEST_DISK2}1" + CHECK_RESULT $? + kpartx -n /dev/${TEST_DISK2} | grep "${TEST_DISK2}1" + CHECK_RESULT $? + kpartx -s /dev/${TEST_DISK2} | grep "/dev/${TEST_DISK2}" + CHECK_RESULT $? + kpartx -g /dev/${TEST_DISK2} | grep "${TEST_DISK2}" + CHECK_RESULT $? + kpartx -p p /dev/${TEST_DISK2} | grep "${TEST_DISK2}p" + CHECK_RESULT $? + kpartx -r /dev/${TEST_DISK2} | grep "${TEST_DISK2}" + CHECK_RESULT $? + kpartx -d /dev/${TEST_DISK2} + CHECK_RESULT $? + ls -l /dev/mapper/ | grep "${TEST_DISK2}1 \-> " + CHECK_RESULT $? 1 + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh new file mode 100644 index 0000000..a52f61d --- /dev/null +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh @@ -0,0 +1,66 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in multipath-tools package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL multipath-tools + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + mpathconf --allow 360000000000000000e00000000010001 --outfile multipath.conf + CHECK_RESULT $? + grep "360000000000000000e00000000010001" multipath.conf + CHECK_RESULT $? + mpathconf --disable + CHECK_RESULT $? + mpathconf | grep "multipath is disabled" + CHECK_RESULT $? + mpathconf --enable --with_multipathd y + CHECK_RESULT $? + mpathconf | grep "multipath is enabled" + CHECK_RESULT $? + mpathconf --user_friendly_names y + CHECK_RESULT $? + mpathconf | grep "user_friendly_names is enabled" + CHECK_RESULT $? + mpathconf --find_multipaths y + CHECK_RESULT $? + mpathconf | grep "find_multipaths is enabled" + CHECK_RESULT $? + mpathconf --with_module y | grep "dm_multipath module is loaded" + CHECK_RESULT $? + multipathd + CHECK_RESULT $? + mpathconf | grep "multipathd is running" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE multipath-tools + rm -rf multipath.conf + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh new file mode 100644 index 0000000..c04219f --- /dev/null +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh @@ -0,0 +1,56 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in multipath-tools package +# ############################################ + +source "common_multipath-tools.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + mpathpersist --out --register --param-sark=123abc /dev/mapper/mpatha + CHECK_RESULT $? + mpathpersist -v0 -i -k /dev/mapper/mpatha | grep "123abc" + CHECK_RESULT $? + mpathpersist -i -k -H /dev/mapper/mpatha | grep -2 "123abc" + CHECK_RESULT $? + mpathpersist --out --reserve --param-rk=123abc --prout-type=8 -d /dev/mapper/mpatha + CHECK_RESULT $? + mpathpersist -i -r /dev/mapper/mpatha | grep -1 "Key" + CHECK_RESULT $? + mpathpersist -i -c /dev/mapper/mpatha | grep -A 20 "Report" + CHECK_RESULT $? + mpathpersist --out --release --param-rk=123abc --prout-type=8 -d /dev/mapper/mpatha + CHECK_RESULT $? + mpathpersist --out --register-ignore -K 123abc -S 0 /dev/mapper/mpatha + CHECK_RESULT $? + mpathpersist -v0 -i -k /dev/mapper/mpatha | grep "123abc" + CHECK_RESULT $? 0 1 + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh new file mode 100644 index 0000000..a859f2e --- /dev/null +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh @@ -0,0 +1,71 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in multipath-tools package +# ############################################ + +source "common_multipath-tools.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + TEST_DISK2=$(lsblk | grep disk | sed -n 1p | awk '{print $1}') + multipath -v3 | grep ${TEST_DISK2} + CHECK_RESULT $? + multipath -ll | grep "mpath" -A 10 + CHECK_RESULT $? + multipath -l | grep "mpath" -A 10 + CHECK_RESULT $? + multipath -v3 -f /dev/dm-2 + CHECK_RESULT $? + test -L /dev/mapper/mpatha1 + CHECK_RESULT $? 1 + service multipathd restart + multipath -v3 -R 1 -F + CHECK_RESULT $? + test -L /dev/mapper/mpatha + CHECK_RESULT $? 1 + service multipathd restart + multipath -a /dev/dm-2 | grep "added" + CHECK_RESULT $? + grep "0000" /etc/multipath/wwids + CHECK_RESULT $? + multipath -v3 -C /dev/dm-2 >check_log 2>&1 + CHECK_RESULT $? + grep -E "checker|sda|/dev/dm-2" check_log + CHECK_RESULT $? + multipath -v3 -q >qdebug 2>&1 + CHECK_RESULT $? + grep -C 10 "paths list" qdebug + CHECK_RESULT $? + multipath -v3 -d >ddebug 2>&1 + CHECK_RESULT $? + grep -i "dev" ddebug + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh new file mode 100644 index 0000000..c5aa9fd --- /dev/null +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh @@ -0,0 +1,69 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in multipath-tools package +# ############################################ + +source "common_multipath-tools.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + TEST_DISK2=$(lsblk | grep disk | sed -n 1p | awk '{print $1}') + multipath -t | grep -E "devices|blacklist_exceptions|blacklist|defaults|overrides" + CHECK_RESULT $? + multipath -r -v3 >rdebug 2>&1 + CHECK_RESULT $? + grep "delegating" rdebug + CHECK_RESULT $? + multipath -i -v3 /dev/mapper/mpatha >idebug 2>&1 + CHECK_RESULT $? + grep "scope limited to 3600" idebug + CHECK_RESULT $? + cd /etc/multipath/ || exit 1 + multipath -B bindings -v3 >/tmp/Bdebug 2>&1 + CHECK_RESULT $? + grep "binding" /tmp/Bdebug + CHECK_RESULT $? + multipath -b bindings -v3 /dev/mapper/mpatha >/tmp/bdebug 2>&1 + CHECK_RESULT $? + grep "loaded successfully" /tmp/bdebug + CHECK_RESULT $? + cd - || exit 1 + multipath -v3 -p multibus >pdebug 2>&1 + CHECK_RESULT $? + grep "multipath" pdebug + CHECK_RESULT $? + multipath -W /dev/dm-2 | grep "successfully reset wwids" + CHECK_RESULT $? + multipath -w /dev/dm-2 | grep "removed" + CHECK_RESULT $? + multipath -c /dev/${TEST_DISK2} | grep "MULTIPATH" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh b/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh new file mode 100644 index 0000000..038d783 --- /dev/null +++ b/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh @@ -0,0 +1,78 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/12/15 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6-server binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "nbdkit nbdkit-server nbdkit-plugins gnutls-utils" + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + nbdkit --help | grep -E "nbdkit|help" + CHECK_RESULT $? + nbdkit --dump-config | grep -E "usr|nbdkit|version" + CHECK_RESULT $? + nbdkit --exit-with-parent example1 & + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit --exportname EXPORTNAME example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit -f example1 & + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit --filter fua example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit --group 123 example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit -i ${NODE1_IPV4} example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit --log syslog example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh b/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh new file mode 100644 index 0000000..860823a --- /dev/null +++ b/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh @@ -0,0 +1,83 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/12/15 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6-server binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "nbdkit nbdkit-server nbdkit-plugins gnutls-utils" + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + nbdkit -n example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit -o example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + echo "531681" >example.pid + nbdkit -P example.pid example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example.pid example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit -p 10809 example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "10809 example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit -r example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "nbdkit -r example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit --run hostname example1 + CHECK_RESULT $? + nbdkit --selinux-label system_u:object_r:svirt_t:s0 example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "system_u:object_r:svirt_t:s0 example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit --threads 1 example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE + rm -rf example.pid + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh b/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh new file mode 100644 index 0000000..35b6fcb --- /dev/null +++ b/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh @@ -0,0 +1,91 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/12/15 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6-server binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "nbdkit nbdkit-server nbdkit-plugins gnutls-utils" + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + certtool --generate-privkey >ca-key.pem + chmod 0600 ca-key.pem + certtool --generate-privkey >server-key.pem + chmod 0600 server-key.pem + certtool --generate-privkey >client-key.pem + chmod 0600 client-key.pem + nbdkit --tls-certificates=/root/ndbkit/ example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + mkdir -m 0700 /tmp/keys + psktool -u rich -p /tmp/keys/keys.psk + nbdkit --tls=require --tls-psk=/tmp/keys/keys.psk example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit --tls=on --tls-psk=/tmp/keys/keys.psk example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit --tls off example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit --tls-verify-peer example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit -U - example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit -u root example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit -v example1 + CHECK_RESULT $? + pid=$(ps -aux | grep "example1" | awk 'NR==1{print $2}') + kill -9 $pid + CHECK_RESULT $? + nbdkit_version=$(rpm -qa nbdkit | awk -F '-' '{print $2}') + nbdkit -V | grep "$nbdkit_version" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE + rm -rf ca-key.pem client-key.pem server-key.pem /tmp/keys + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/ndisc6/common_ndisc6.sh b/testcases/cli-test/ndisc6/common_ndisc6.sh new file mode 100644 index 0000000..55df9fe --- /dev/null +++ b/testcases/cli-test/ndisc6/common_ndisc6.sh @@ -0,0 +1,39 @@ +#!/usr/bin/bash +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. +#################################### +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2021/01/11 +# @License : Mulan PSL v2 +# @Desc : Public class integration +##################################### + +source "$OET_PATH/libs/locallibs/common_lib.sh" +function deploy_env() { + share_arg + DNF_INSTALL "ndisc6 xinetd time" + hostname newlocalhost + cp /etc/resolv.conf /etc/resolv.conf-bak + sed -i 's/name/#&/' /etc/resolv.conf + sed -i '6s/yes/no/g' /etc/xinetd.d/echo-stream + systemctl restart xinetd + SSH_CMD "dnf install -y xinetd; + sed -i '6s/yes/no/g' /etc/xinetd.d/echo-stream; + systemctl restart xinetd; + " ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} +} + +function clear_env() { + share_arg + SSH_CMD "dnf remove -y xinetd " ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} + DNF_REMOVE "ndisc6 xinetd time" + cp /etc/resolv.conf-bak /etc/resolv.conf + rm -rf file runtime /etc/resolv.conf-bak +} diff --git a/testcases/cli-test/ndisc6/echo.c b/testcases/cli-test/ndisc6/echo.c new file mode 100644 index 0000000..19b6469 --- /dev/null +++ b/testcases/cli-test/ndisc6/echo.c @@ -0,0 +1,72 @@ +#include +#include +#include + +#include +#include +#include +#include +#include + +#define PORT_NUM 7777 +#define MAXBUF 1024 + +int main() +{ + int server_fd,conn_fd; + socklen_t len; + struct sockaddr_in server_addr,client_addr; + char buf[MAXBUF + 1]; + server_fd = socket(AF_INET,SOCK_STREAM,0); + + memset(&server_addr,0,sizeof(server_addr)); + + server_addr.sin_port = htons(PORT_NUM); + server_addr.sin_family = AF_INET; + server_addr.sin_addr.s_addr = htonl(INADDR_ANY); + + bind(server_fd,(struct sockaddr *)&server_addr,sizeof(server_addr)); + + listen(server_fd,5); + + while(1) + { + printf("----------------ready for connection-------------\n"); + + len = sizeof(struct sockaddr); + conn_fd = accept(server_fd,(struct sockaddr *)&client_addr,&len); + + if(conn_fd > 0) + { + printf("got connection from ip:%s, port:%d\n",inet_ntoa(client_addr.sin_addr),ntohs(client_addr.sin_port)); + } + while(1) + { + memset(buf,0,sizeof(buf)); + + len = recv(conn_fd,buf,MAXBUF,0); + if(len > 0) + printf("recv: %s , %d Byte\n",buf,strlen(buf)); + else if(len == 0) + { + printf("client quit...\n"); + break; + } + + + //memset(buf,0,sizeof(buf)); + len = send(conn_fd,buf,strlen(buf),0); + if(len > 0) + printf("send: %s , %d Byte\n",buf,strlen(buf)); + else if(len == 0) + { + printf("client quit...\n"); + break; + } + + } + close(conn_fd); + printf("\n\n\n"); + } + close(server_fd); + diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh new file mode 100644 index 0000000..c0d915a --- /dev/null +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh @@ -0,0 +1,59 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/12 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6 package +# ############################################ + +source "common_ndisc6.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + addr2name -4 ${NODE1_IPV4} | grep "newlocalhost" + CHECK_RESULT $? + addr2name -6 ${NODE1_IPV6[0]} | grep "newlocalhost" + CHECK_RESULT $? + addr2name -c ${NODE1_IPV4} | grep "newlocalhost" + CHECK_RESULT $? + addr2name -c ${NODE1_IPV6[0]} | grep "newlocalhost" + CHECK_RESULT $? + addr2name -m ${NODE1_IPV4} ${NODE1_IPV6[0]} | grep "newlocalhost" + CHECK_RESULT $? + addr2name -n ${NODE1_IPV4} | grep "newlocalhost" + CHECK_RESULT $? + addr2name -n ${NODE1_IPV6[0]} | grep "newlocalhost" + CHECK_RESULT $? + addr2name -r newlocalhost | grep "newlocalhost" + CHECK_RESULT $? + ndisc6_version=$(rpm -qa ndisc6 | awk -F '-' '{print $2}') + addr2name -V | grep "${ndisc6_version}" + CHECK_RESULT $? + addr2name -h | grep "help" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh new file mode 100644 index 0000000..f27fb1c --- /dev/null +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh @@ -0,0 +1,58 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/12 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6 package +# ############################################ + +source "common_ndisc6.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + name2addr -4 newlocalhost | grep "${NODE1_IPV4}" + CHECK_RESULT $? + name2addr -6 newlocalhost | grep "${NODE1_IPV6[0]}" + CHECK_RESULT $? + name2addr -c newlocalhost | grep "${NODE1_NICS[0]}" + CHECK_RESULT $? + name2addr -m localhost newlocalhost | grep -E "${NODE1_IPV4}|${NODE1_IPV6[0]}" + CHECK_RESULT $? + name2addr -n -r ${NODE1_IPV4} | grep "newlocalhost" + CHECK_RESULT $? + name2addr -n -r ${NODE1_IPV6[0]} | grep "newlocalhost" + CHECK_RESULT $? + ndisc6_version=$(rpm -qa ndisc6 | awk -F '-' '{print $2}') + name2addr -V | grep "${ndisc6_version}" + CHECK_RESULT $? + name2addr -h | grep "name2addr" + CHECK_RESULT $? + echo "hello world" >file + dnssort -r file | grep "hello world" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh new file mode 100644 index 0000000..3f9fd2f --- /dev/null +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh @@ -0,0 +1,53 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/12 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6 package +# ############################################ + +source "common_ndisc6.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + ndisc6 -1 -r 3 -w 1000 ${NODE2_IPV6[0]} ${NODE2_NICS[0]} | grep -i "${NODE2_MAC}" + CHECK_RESULT $? + ndisc6 -m -r 3 -w 1000 ${NODE2_IPV6[0]} ${NODE2_NICS[0]} | grep -i "${NODE2_MAC}" + CHECK_RESULT $? + ndisc6 -n -r 3 -w 1000 ${NODE2_IPV6[0]} ${NODE2_NICS[0]} | grep -i "${NODE2_MAC}" + CHECK_RESULT $? + ndisc6 -q -r 3 -w 1000 ${NODE2_IPV6[0]} ${NODE2_NICS[0]} | grep -i "${NODE2_MAC}" + CHECK_RESULT $? + ndisc6_version=$(rpm -qa ndisc6 | awk -F '-' '{print $2}') + ndisc6 -V | grep "${ndisc6_version}" + CHECK_RESULT $? + ndisc6 -v -r 3 -w 1000 ${NODE2_IPV6[0]} ${NODE2_NICS[0]} | grep -i "${NODE2_MAC}" + CHECK_RESULT $? + ndisc6 -h | grep "ndisc6" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh new file mode 100644 index 0000000..c53b729 --- /dev/null +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh @@ -0,0 +1,51 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/12 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6 package +# ############################################ + +source "common_ndisc6.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + rdisc6 -q 1000 ${NODE2_IPV6[0]} ${NODE2_NICS[0]} | grep "Soliciting" + CHECK_RESULT $? 0 1 + rdisc6 -r 5 ${NODE2_IPV6[0]} ${NODE2_NICS[0]} | tail -n +2 | head -n -1 | wc -l | grep 5 + CHECK_RESULT $? + /usr/bin/time -o runtime rdisc6 -r 1 -w 2000 ${NODE2_IPV6[0]} ${NODE2_NICS[0]} + CHECK_RESULT $? 0 1 + grep "0:02.00" runtime + CHECK_RESULT $? + ndisc6_version=$(rpm -qa ndisc6 | awk -F '-' '{print $2}') + rdisc6 -V | grep "${ndisc6_version}" + CHECK_RESULT $? + rdisc6 -h | grep "rdisc6" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh new file mode 100644 index 0000000..8970838 --- /dev/null +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh @@ -0,0 +1,62 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/12 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6 package +# ############################################ + +source "common_ndisc6.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + rdnssd -f & + pid=$(pgrep -w nss) + kill -9 $pid + rdnssd -H /etc/rdnssd/merge-hook + CHECK_RESULT $? + pid=$(ps -aux | grep "/etc/rdnssd/merge-hook" | head -n -1 | awk '{print $2}') + kill -9 $pid + rdnssd -p /var/run/rdnssd.pid + CHECK_RESULT $? + pid=$(ps -aux | grep "/var/run/rdnssd.pid" | head -n -1 | awk '{print $2}') + kill -9 $pid + rdnssd -r /etc/resolv.conf + CHECK_RESULT $? + pid=$(ps -aux | grep "/etc/resolv.conf" | head -n -1 | awk '{print $2}') + kill -9 $pid + rdnssd -u nobody + CHECK_RESULT $? + pid=$(ps -aux | grep "rdnssd -u nobody" | head -n -1 | awk '{print $2}') + kill -9 $pid + ndisc6_version=$(rpm -qa ndisc6 | awk -F '-' '{print $2}') + rdnssd -V | grep "${ndisc6_version}" + CHECK_RESULT $? + rdnssd -h | grep rdnssd + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh new file mode 100644 index 0000000..716d424 --- /dev/null +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh @@ -0,0 +1,55 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/13 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6 package +# ############################################ + +source "common_ndisc6.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + rltraceroute6 -A -d -f 1 -l -g ${NODE2_IPV6[0]} -i lo -m 30 -q 3 -w 5 -z 0 -t 22 -S localhost 7 | grep "64 bytes" + CHECK_RESULT $? + rltraceroute6 -E localhost 7 | grep "bytes packets" + CHECK_RESULT $? + rltraceroute6 -N localhost 7 | grep "1 localhost (::1)" + CHECK_RESULT $? + rltraceroute6 -n localhost 7 | grep "1 localhost (::1)" + CHECK_RESULT $? 0 1 + rltraceroute6 -I localhost 7 | grep "port" + CHECK_RESULT $? 0 1 + rltraceroute6 -U localhost 7 | grep "hops max" + CHECK_RESULT $? + ndisc6_version=$(rpm -qa ndisc6 | awk -F '-' '{print $2}') + rltraceroute6 -V | grep "${ndisc6_version}" + CHECK_RESULT $? + rltraceroute6 -h | grep "rltraceroute6" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh new file mode 100644 index 0000000..8eae217 --- /dev/null +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh @@ -0,0 +1,59 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/12 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6 package +# ############################################ + +source "common_ndisc6.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + tcpspray -4 -v -f echo.c localhost 7 | grep "Sending 102400 bytes" + CHECK_RESULT $? + tcpspray -6 -f echo.c localhost 7 | grep "Transmitted 102400 bytes" + CHECK_RESULT $? + tcpspray -e -v -f echo.c localhost 7 | grep "Received 102400 bytes" + CHECK_RESULT $? + ndisc6_version=$(rpm -qa ndisc6 | awk -F '-' '{print $2}') + tcpspray -V | grep "${ndisc6_version}" + CHECK_RESULT $? + tcpspray -h | grep tcpspray + CHECK_RESULT $? + tcpspray6 -4 -v -f echo.c localhost 7 | grep "Sending 102400 bytes" + CHECK_RESULT $? + tcpspray6 -6 -f echo.c localhost 7 | grep "Transmitted 102400 bytes" + CHECK_RESULT $? + tcpspray6 -e -v -f echo.c localhost 7 | grep "Received 102400 bytes" + CHECK_RESULT $? + tcpspray6 -V | grep "${ndisc6_version}" + CHECK_RESULT $? + tcpspray6 -h | grep tcpspray + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh new file mode 100644 index 0000000..99df454 --- /dev/null +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh @@ -0,0 +1,57 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/12 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6 package +# ############################################ + +source "common_ndisc6.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + tcptraceroute6 -d -A -f 1 -i lo -m 30 -q 3 -w 5 -z 0 -t 22 -S localhost 7 | grep "60 bytes" + CHECK_RESULT $? + tcptraceroute6 -g ${NODE2_IPV6[0]} localhost 7 | grep "64 bytes" + CHECK_RESULT $? + tcptraceroute6 -d localhost 7 | grep "open" + CHECK_RESULT $? + tcptraceroute6 -l 50 -S localhost 7 | grep "50 bytes" + CHECK_RESULT $? + tcptraceroute6 -E localhost 7 | grep "bytes packets" + CHECK_RESULT $? + tcptraceroute6 -N localhost 7 | grep "1 localhost (::1)" + CHECK_RESULT $? + tcptraceroute6 -n localhost 7 | grep "1 localhost (::1)" + CHECK_RESULT $? 0 1 + ndisc6_version=$(rpm -qa ndisc6 | awk -F '-' '{print $2}') + tcptraceroute6 -V | grep "${ndisc6_version}" + CHECK_RESULT $? + tcptraceroute6 -h | grep "tcptraceroute6" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh new file mode 100644 index 0000000..c34a7e5 --- /dev/null +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh @@ -0,0 +1,55 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/13 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in ndisc6 package +# ############################################ + +source "common_ndisc6.sh" +function pre_test() { + LOG_INFO "Start to prepare the test environment." + deploy_env + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + tracert6 -A -d -f 1 -l -g ${NODE2_IPV6[0]} -i lo -m 30 -q 3 -w 5 -z 0 -t 22 -S localhost 7 | grep "64 bytes" + CHECK_RESULT $? + tracert6 -E localhost 7 | grep "bytes packets" + CHECK_RESULT $? + tracert6 -N localhost 7 | grep "1 localhost (::1)" + CHECK_RESULT $? + tracert6 -n localhost 7 | grep "1 localhost (::1)" + CHECK_RESULT $? 0 1 + tracert6 -I localhost 7 | grep "port" + CHECK_RESULT $? 0 1 + tracert6 -U localhost 7 | grep "hops max" + CHECK_RESULT $? + ndisc6_version=$(rpm -qa ndisc6 | awk -F '-' '{print $2}') + tracert6 -V | grep "${ndisc6_version}" + CHECK_RESULT $? + tracert6 -h | grep "help" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + clear_env + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/pcp/ganglia/bytes_in.rrd b/testcases/cli-test/pcp/ganglia/bytes_in.rrd new file mode 100644 index 0000000000000000000000000000000000000000..618e57d4d8ebf79ca548d85175544f113e7169b8 GIT binary patch literal 630760 zcmeI(ZD>|i902fVIgx}+W0WbP2-!;1EpgZf-MOd?Kjh0`Bj{;JsNcfq1z(`Va#Dzn zp+)OMB7$!p9D$Gsr4$&!6rF*H65EI2%ZO@E+oSlh_IP(6HeYCusUZIDm;ZClz4x4R z&;6g@eZHLP>iMa%va*?}{$s{VM}L_&b>dq^{pDf(@!8~p2OK~6=-oS7tcq%?7Ti|5 zW>ERc`nZ_HK_`b)h-w#9M|bp+O0_*7-<~{wTxzQvQlmq-=wAIET@>G3JgAL_-*4OT zxWm$i)NiI~{IY>fmzTd*KE0xBVAWlJ&&(a){~Ydmvf#@5&y$t8V^d>(9C3MPzFg=~ zlJ=4~WASfCioW>szT0bUOyBI7kPY>nOAr12@%ixGhL3e}z}m z4L{X4kBX8Q?Rn{;)lY_}qTIgc zib?m5x#xR%Nm2AoQ&ZEJvBUE5%&zz5?9cRu<1H;M|9ssOR{ywTT=VAc&{LXjIF`-) zXMEx8iEQ)2Ojy|0!Pfa*;r%%y&+PiPGrw;-*+0E#{KbP~wx0~S$KQK!Pd;{>oP2KW z;hiDbxBY)32RX>W!X313tQ+gby5Rr^IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N@s?=|KCsk^@!kU6n~({^^KJ#i~r0a^%gf71ytZ({H>pdh6@gLVf4b zLv#nl0;p z4PjQ@;isSaDy+;Mn;L^Wc|7yPd-L9W=Y8inzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3Gc$5ybHl}ZOOvna#C+{9* z-pM!lCg1$u;Q$9XzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W kzyS_$fCC)h00%h00S<70103K02ROh14sd`2Po4w+0;h9?Gynhq literal 0 HcmV?d00001 diff --git a/testcases/cli-test/pcp/ganglia/bytes_out.rrd b/testcases/cli-test/pcp/ganglia/bytes_out.rrd new file mode 100644 index 0000000000000000000000000000000000000000..b3bb0529f3ebff143ef1dbf4ef42a8b04d49c82a GIT binary patch literal 630760 zcmeI*ZD>_x902guR)!(&!xW*MA}ox7PDO@raNC6qd>2i>6llZ{U)lm4F#;iyA_DK-*|}`K;AR>szx(0-@Bf_VJkN6; z{^xh@x2LRZZbm^t!HkUl&(yqA=S%V@RJ_oiXZFX@af8PkH+;1Io*qk!-z%MW*U^oG z^1o`MG>(H#4k;;KG_S1qo>4L~y2eJA$M+v)T}4CcbO?c!_3`A#?fM}a zaPkux_m91=Eg#tR%!0xx(`OAla{u3-ocVL|mp`|J`=1@|(MPY7s@Wqu8xytZc|>`A zIv?&#;{M{;x$(C*PQQ5lk^5Vlf4gD9_@>aFTi3fVry<-L70oD2i{h1*}1N#V#~K-@v66esr@MteyvUpaQX94HG5=dW1==ZYwKgasTJ^Cs{?i5Ip6>X zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02RQIF zJFqtYcEf`4O+lTi)2F#k)u;MYpZ-sAfCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 g9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h!2j*Q9qVUvt^fc4 literal 0 HcmV?d00001 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh new file mode 100644 index 0000000..4777782 --- /dev/null +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh @@ -0,0 +1,54 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/10 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in pcp-import-collectl2pcp binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL pcp-import-collectl2pcp + wget http://jaist.dl.sourceforge.net/sourceforge/collectl/collectl-3.1.3.src.tar.gz + dnf install -y tar + tar zxvf collectl-3.1.3.src.tar.gz + cd collectl-3.1.3 + ./INSTALL + cd - + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + collectl -c 5 -f collect + inputfile=$(ls | grep "localhost") + test -f ${inputfile} + CHECK_RESULT $? + collectl2pcp -v ${inputfile} collectpcp | grep "New instance" + CHECK_RESULT $? + test -f collectpcp.0 -a -f collectpcp.index -a -f collectpcp.meta && rm -rf collectpcp.0 collectpcp.index collectpcp.meta + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE pcp-import-collectl2pcp + rm -rf ./collect* + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh new file mode 100644 index 0000000..fc9db0f --- /dev/null +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh @@ -0,0 +1,45 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/10 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in pcp-import-ganglia2pcp binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL pcp-import-ganglia2pcp + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + ganglia2pcp -f gangpcp -d ./ -Z UTC -h localhost ./ganglia/ + CHECK_RESULT $? + grep -aE "localhost|UTC" gangpcp.index + CHECK_RESULT $? + test -f gangpcp.0 -a -f gangpcp.meta && rm -rf gangpcp.0 gangpcp.meta gangpcp.index + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE pcp-import-ganglia2pcp ganglia + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh new file mode 100644 index 0000000..2f1bbc2 --- /dev/null +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh @@ -0,0 +1,65 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/10 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in pcp-import-iostat2pcp binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "pcp-import-iostat2pcp sysstat" + export LC_ALL=en_US.UTF-8 + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + iostat -x sda sdb 2 6 >inputfile + CHECK_RESULT $? + test -f inputfile + CHECK_RESULT $? + iostat2pcp -v inputfile iospcp | grep "End of sample" + CHECK_RESULT $? + test -f iospcp.0 -a -f iospcp.index -a -f iospcp.meta && rm -rf iospcp.0 iospcp.index iospcp.meta + CHECK_RESULT $? + iostat2pcp inputfile iospcp | grep "End of sample" + CHECK_RESULT $? 0 1 + test -f iospcp.0 -a -f iospcp.index -a -f iospcp.meta && rm -rf iospcp.0 iospcp.index iospcp.meta + CHECK_RESULT $? + iostat2pcp -v -S 00:05:00 inputfile iospcp | grep -E "00:05:15|00:05:30" + CHECK_RESULT $? + test -f iospcp.0 -a -f iospcp.index -a -f iospcp.meta && rm -rf iospcp.0 iospcp.index iospcp.meta + CHECK_RESULT $? + iostat2pcp -v -S 00:05:00 -t 2 inputfile iospcp | grep -E "interval=2|00:05:02|00:05:04" + CHECK_RESULT $? + test -f iospcp.0 -a -f iospcp.index -a -f iospcp.meta && rm -rf iospcp.0 iospcp.index iospcp.meta + CHECK_RESULT $? + iostat2pcp -v -Z -3333 inputfile iospcp | grep "zone=-3333" + CHECK_RESULT $? + test -f iospcp.0 -a -f iospcp.index -a -f iospcp.meta && rm -rf iospcp.0 iospcp.index iospcp.meta + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "pcp-import-iostat2pcp sysstat" + rm -rf inputfile + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh new file mode 100644 index 0000000..9923d89 --- /dev/null +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh @@ -0,0 +1,45 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/10 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in pcp-import-mrtg2pcp binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "pcp-import-mrtg2pcp mrtg" + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + mrtg2pcp localhost /dev/vda UTF-8 /var/www/mrtg/mrtg-m.png mrtgpcp + CHECK_RESULT $? + grep -aE "localhost|UTF-8" mrtgpcp.index + CHECK_RESULT $? + test -f mrtgpcp.0 -a -f mrtgpcp.meta && rm -rf mrtgpcp.0 mrtgpcp.meta mrtgpcp.index + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "pcp-import-mrtg2pcp mrtg" + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh new file mode 100644 index 0000000..3312809 --- /dev/null +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh @@ -0,0 +1,50 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/10 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in pcp-import-sar2pcp binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "pcp-import-sar2pcp sysstat" + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + /usr/lib64/sa/sadc 1 10 datafile + CHECK_RESULT $? + test -f datafile + CHECK_RESULT $? + sar2pcp datafile datapcp + CHECK_RESULT $? + grep -aE "localhost|UTC|8" datapcp.index + CHECK_RESULT $? + test -f datapcp.0 -a -f datapcp.meta && rm -rf datapcp.0 datapcp.meta datapcp.index + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "pcp-import-sar2pcp sysstat" + rm -rf datafile + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/example.qdoc b/testcases/cli-test/qt5-qttools/example.qdoc new file mode 100644 index 0000000..fdfc056 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/example.qdoc @@ -0,0 +1,35 @@ +/ *! + \class QObject + \brief The QObject class is the base class of all Qt objects. + + \ingroup objectmodel + + \reentrant + + QObject is the heart of the Qt \l{Object Model}. The + central feature in this model is a very powerful mechanism + for seamless object communication called \l{signals and + slots}. You can connect a signal to a slot with connect() + and destroy the connection with disconnect(). To avoid + never ending notification loops you can temporarily block + signals with blockSignals(). The protected functions + connectNotify() and disconnectNotify() make it possible to + track connections. + + QObjects organize themselves in \l {Object Trees & + Ownership} {object trees}. When you create a QObject with + another object as parent, the object will automatically + add itself to the parent's \c children() list. The parent + takes ownership of the object. It will automatically + delete its children in its destructor. You can look for an + object by name and optionally type using findChild() or + findChildren(). + + Every object has an objectName() and its class name can be + found via the corresponding metaObject() (see + QMetaObject::className()). You can determine whether the + object's class inherits another class in the QObject + inheritance hierarchy by using the \c inherits() function. + + .... +* / diff --git a/testcases/cli-test/qt5-qttools/example.qdocconf b/testcases/cli-test/qt5-qttools/example.qdocconf new file mode 100644 index 0000000..8f53ffc --- /dev/null +++ b/testcases/cli-test/qt5-qttools/example.qdocconf @@ -0,0 +1,36 @@ +# QDoc is a tool that constantly evolves to suit our needs, +# and there are some compatibility issues between old and new +# practices. For that reason, any QDoc configuration file needs to +# include compat.qdocconf. +#include(compat.qdocconf) + +# QDoc needs lists of file extensions to know which files to process in +# different situations. Uncomment the following include statement to get +# a pre-defined list of file extensions. +#include(fileextensions.qdocconf) + +# You can also specify file extensions manually. +headers.fileextensions = "*.h *.hpp" +sources.fileextensions = "*.cpp *.qml *.qdoc" + +# The outputdir variable specifies the directory +# where QDoc will put the generated documentation. +outputdir = html + +# The headerdirs variable specifies the directories +# containing the header files associated +# with the .cpp source files used in the documentation. +headerdirs = . + +# The sourcedirs variable specifies the +# directories containing the .cpp or .qdoc +# files used in the documentation. +sourcedirs = . + +# The exampledirs variable specifies the directories containing +# the source code of the example files. +exampledirs = . + +# The imagedirs variable specifies the +# directories containing the images used in the documentation. +imagedirs = ./images diff --git a/testcases/cli-test/qt5-qttools/hello.cpp b/testcases/cli-test/qt5-qttools/hello.cpp new file mode 100644 index 0000000..f47a64b --- /dev/null +++ b/testcases/cli-test/qt5-qttools/hello.cpp @@ -0,0 +1,23 @@ +#include "widget.h" +#include +#include + +Widget::Widget(QWidget *parent) + : QWidget(parent) +{ + //创建一个PushButton + QPushButton * btn = new QPushButton(tr("click me"),this); + //连接信号和槽 + connect(btn,SIGNAL(clicked()),this,SLOT(btn_click())); +} + +Widget::~Widget() +{ +} + +void Widget::btn_click() +{ + QMessageBox::information(NULL, tr("click button"), + tr("hello world"), QMessageBox::Yes); +} + diff --git a/testcases/cli-test/qt5-qttools/hello.h b/testcases/cli-test/qt5-qttools/hello.h new file mode 100644 index 0000000..68fea6b --- /dev/null +++ b/testcases/cli-test/qt5-qttools/hello.h @@ -0,0 +1,17 @@ +#ifndef WIDGET_H +#define WIDGET_H + +#include + +class Widget : public QWidget +{ + Q_OBJECT + +public: + Widget(QWidget *parent = 0); + ~Widget(); +public slots: + void btn_click(); +}; + +#endif diff --git a/testcases/cli-test/qt5-qttools/hello.pro b/testcases/cli-test/qt5-qttools/hello.pro new file mode 100644 index 0000000..d9b4147 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/hello.pro @@ -0,0 +1,11 @@ +QT += core gui + +TARGET = hello +TEMPLATE = app + +SOURCES += main.cpp\ + hello.cpp +HEADERS += hello.h + +LIBS += -lxcb + diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh new file mode 100644 index 0000000..7fe7651 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh @@ -0,0 +1,97 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-linguist binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-linguist qt5-qtbase-devel" + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + cp /usr/share/qt5/phrasebooks/swedish.qph ./ + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + lconvert-qt5 -h | grep -E "lconvert|help" + CHECK_RESULT $? + lconvert-qt5 -i swedish.qph -o swedish + CHECK_RESULT $? + grep "context" swedish + CHECK_RESULT $? + lconvert-qt5 -if qph -i swedish.qph -of ts -o swedish.ts + CHECK_RESULT $? + grep -i "ts" swedish.ts + CHECK_RESULT $? + lconvert-qt5 -i swedish.qph -o swedish -drop-tags xml + CHECK_RESULT $? + grep -i "xml" swedish + CHECK_RESULT $? + lconvert-qt5 -i swedish.qph -o swedish -drop-translations + CHECK_RESULT $? + grep "unfinished" swedish + CHECK_RESULT $? + lconvert-qt5 -i swedish.qph -o swedish -source-language POSIX + CHECK_RESULT $? + grep "sourcelanguage=\"POSIX\"" swedish + CHECK_RESULT $? + lconvert-qt5 -i swedish.qph -o swedish -target-language POSIX + CHECK_RESULT $? + grep "language=\"POSIX\"" swedish + CHECK_RESULT $? + lconvert-qt5 -i swedish.qph -o swedish -no-obsolete + CHECK_RESULT $? + grep "obsolete" swedish + CHECK_RESULT $? 0 1 + lconvert-qt5 -i swedish.qph -o swedish -no-finished + CHECK_RESULT $? + grep "type=\"finished\"" swedish + CHECK_RESULT $? 0 1 + lconvert-qt5 -i swedish.qph -o swedish -no-untranslated + CHECK_RESULT $? + grep "untranslated" swedish + CHECK_RESULT $? 0 1 + rm -rf ./swedish + lconvert-qt5 -i swedish.qph -o swedish -sort-contexts + CHECK_RESULT $? + grep -i "context-sensitive" swedish + CHECK_RESULT $? + lconvert-qt5 -locations absolute -i swedish.qph -o swedish + CHECK_RESULT $? + grep "DOCTYPE TS" swedish + CHECK_RESULT $? + lconvert-qt5 -i swedish.qph -o swedish -no-ui-lines + CHECK_RESULT $? + grep " ui " swedish + CHECK_RESULT $? 1 + lconvert-qt5 -i swedish.qph -o swedish -verbose >verbose 2>&1 + CHECK_RESULT $? + grep "Source" verbose + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-linguist qt5-qtbase-devel" + rm -rf swedish swedish.qph verbose swedish.ts + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh new file mode 100644 index 0000000..7aafc23 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh @@ -0,0 +1,61 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-linguist binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-linguist qt5-qtbase-devel" + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + lrelease-qt5 -h | grep "lrelease" + CHECK_RESULT $? + lupdate-qt5 ../hello.pro -ts hello.ts + lrelease-qt5 -idbased hello.ts -qm hello.qm | grep "ID" + CHECK_RESULT $? + grep "hello.cpp" hello.ts + CHECK_RESULT $? + lrelease-qt5 -compress hello.qm | grep "Updating" + CHECK_RESULT $? + lrelease-qt5 -nounfinished hello.ts -qm hello.qm | grep "untranslated" + CHECK_RESULT $? + lrelease-qt5 -removeidentical hello.ts -qm hello.qm | grep "equal" + CHECK_RESULT $? + lrelease-qt5 -markuntranslated 123456 hello.ts -qm hello.qm + grep -aE "1|2|3|4|5|6" hello.qm + CHECK_RESULT $? + test -z "$(lrelease-qt5 -silent hello.ts -qm hello.qm)" + CHECK_RESULT $? + lrelease-qt5 -version | grep -E "lrelease|${qt5_version}" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-linguist qt5-qtbase-devel" + rm -rf hello.ts hello.qm + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh new file mode 100644 index 0000000..4f3c55e --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh @@ -0,0 +1,69 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-linguist binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-linguist qt5-qtbase-devel" + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + lupdate-qt5 -help | grep -E "lupdate|help" + CHECK_RESULT $? + lupdate-qt5 -no-obsolete ../hello.pro -ts hello.ts + CHECK_RESULT $? + grep "obsolete" hello.ts + CHECK_RESULT $? 0 1 + lupdate-qt5 -extensions pro ../hello.pro -ts hello.ts | grep "Updating" + CHECK_RESULT $? + lupdate-qt5 -pluralonly ../hello.pro -ts hello.ts | grep "plural" + CHECK_RESULT $? + lupdate-qt5 -silent ../hello.pro -ts hello.ts | grep "Updating" + CHECK_RESULT $? 1 + lupdate-qt5 -no-sort ../hello.pro -ts hello.ts + CHECK_RESULT $? + grep -E "click me|click button" hello.ts + CHECK_RESULT $? + mkdir -p dir/dir + cp ../hello.cpp dir/dir/ + lupdate-qt5 -no-recursive dir -ts hello.ts | grep "0 new and 0 already" + CHECK_RESULT $? + grep "name" hello.ts + CHECK_RESULT $? 1 + lupdate-qt5 -recursive dir -ts hello.ts | grep "3 new and 0 already" + CHECK_RESULT $? + grep "name" hello.ts + CHECK_RESULT $? + lupdate-qt5 -I../ ../ -ts hello.ts | grep "Scanning directory" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-linguist qt5-qtbase-devel" + rm -rf hello.ts dir + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh new file mode 100644 index 0000000..f2da72a --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh @@ -0,0 +1,80 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-linguist binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-linguist qt5-qtbase-devel" + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + lupdate-qt5 -locations absolute ../hello.cpp -ts hello.ts + CHECK_RESULT $? + grep "location" hello.ts && rm -rf hello.ts + CHECK_RESULT $? + lupdate-qt5 -no-ui-lines ../hello.cpp -ts hello.ts + CHECK_RESULT $? + grep "ui" hello.ts + CHECK_RESULT $? 1 + lupdate-qt5 -disable-heuristic number ../hello.cpp -ts hello.ts | grep "3 source text" + CHECK_RESULT $? + lupdate-qt5 -pro ../hello.pro -ts hello.ts | grep "creating stash file" + CHECK_RESULT $? + lupdate-qt5 -pro-out /tmp ../hello.pro -ts hello.ts | grep "/tmp/.qmake.stash" + CHECK_RESULT $? + lupdate-qt5 -pro-debug ../hello.pro -ts hello.ts >debug 2>&1 + CHECK_RESULT $? + grep -i "debug" debug && rm -rf debug hello.ts + CHECK_RESULT $? + lupdate-qt5 -source-language POSIX ../hello.cpp -ts hello.ts + CHECK_RESULT $? + grep 'sourcelanguage="POSIX"' hello.ts && rm -rf hello.ts + CHECK_RESULT $? + lupdate-qt5 ../hello.cpp -target-language en_US -ts hello.ts + CHECK_RESULT $? + grep 'language="en_US"' hello.ts + CHECK_RESULT $? + lupdate-qt5 -tr-function-alias tr=tr ../hello.pro -ts hello.ts + CHECK_RESULT $? + grep "tr" hello.ts + CHECK_RESULT $? + lupdate-qt5 ../hello.pro -ts test.ts | grep "Updating 'test.ts'" + CHECK_RESULT $? + lupdate-qt5 -version | grep -E "lupdate|${qt5_version}" + CHECK_RESULT $? + echo "../hello.pro" >list + lupdate-qt5 @list -ts test.ts + CHECK_RESULT $? + test -f test.ts && rm -rf test.ts + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-linguist qt5-qtbase-devel" + rm -rf hello.ts debug test.ts list + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh new file mode 100644 index 0000000..8535ad1 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh @@ -0,0 +1,45 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/20 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-qttools package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL qt5-qttools + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + qdbus-qt5 --system | grep "org.freedesktop" + CHECK_RESULT $? + qdbus-qt5 --bus | grep -i "bus" + CHECK_RESULT $? + qdbus-qt5 --literal org.freedesktop.DBus | grep "/org/freedesktop/DBus" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE qt5-qttools + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh new file mode 100644 index 0000000..012fbb2 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh @@ -0,0 +1,75 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-doctools binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-doctools" + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + cp -r /usr/share/doc/qt5/global/ ../example* ../hello* ./ + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + qdoc -h | grep -E "qdoc | help" + CHECK_RESULT $? + qdoc -v | grep "qdoc ${qt5_version}" + CHECK_RESULT $? + qdoc -D status=active example.qdocconf --outputdir ./html + CHECK_RESULT $? + grep 'status="active"' html/.index + CHECK_RESULT $? + qdoc --depends Print example.qdocconf --outputdir ./html + CHECK_RESULT $? + grep -i "print" html/.index + CHECK_RESULT $? + qdoc --showinternal example.qdocconf --outputdir ./html + CHECK_RESULT $? + grep -i "show" html/.index + CHECK_RESULT $? + qdoc --redirect-documentation-to-dev-null example.qdocconf --outputdir ./html + CHECK_RESULT $? + grep -i "document" html/.index + CHECK_RESULT $? + qdoc --no-examples example.qdocconf --outputdir ./html + CHECK_RESULT $? + ls html/ | grep example + CHECK_RESULT $? 1 + rm -rf ./html/.index + qdoc example.qdocconf --indexdir ./html -outputdir ./html + CHECK_RESULT $? + test -f ./html/.index && rm -rf ./html/.index + CHECK_RESULT $? + qdoc --highlighting example.qdocconf --outputdir ./html + CHECK_RESULT $? + grep -i "Highlighting" ./html/.index + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-doctools" + rm -rf example.qdoc example.qdocconf hello.cpp hello.h hello.pro html global + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh new file mode 100644 index 0000000..97cfbb8 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh @@ -0,0 +1,81 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-doctools binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-doctools" + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + cp -r /usr/share/doc/qt5/global/ ../example* ../hello* ./ + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + qdoc --installdir ./html/ example.qdocconf -outputdir ./html + CHECK_RESULT $? + test -d ./html/images/ && rm -rf ./html/images/ + CHECK_RESULT $? + qdoc example.qdocconf -outputdir ./html --obsoletelinks + CHECK_RESULT $? + grep -i "link" ./html/.index + CHECK_RESULT $? + qdoc example.qdocconf -outputdir ./html + CHECK_RESULT $? + test -f ./html/.index && rm -rf ./html/.index + CHECK_RESULT $? + test -d ./html/images/ && rm -rf ./html/images/ + CHECK_RESULT $? + qdoc example.qdocconf -outputdir ./html --outputformat HTML + CHECK_RESULT $? + grep "HTML" ./html/.index + CHECK_RESULT $? + qdoc example.qdocconf -outputdir ./html --autolink-errors + CHECK_RESULT $? + grep "erro" ./html/.index + CHECK_RESULT $? + qdoc example.qdocconf -outputdir ./html --debug >result 2>&1 + CHECK_RESULT $? + grep -i "debug" result + CHECK_RESULT $? + qdoc example.qdocconf -outputdir ./html --prepare >result 2>&1 + CHECK_RESULT $? + grep "loading" result + CHECK_RESULT $? 0 1 + test -d ./html/images/ + CHECK_RESULT $? 0 1 + rm -rf html + qdoc example.qdocconf -outputdir ./html --generate + CHECK_RESULT $? + test -f ./html/.index + CHECK_RESULT $? 0 1 + grep -i "search" html/search-results.html + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-doctools" + rm -rf example.qdoc example.qdocconf hello.cpp hello.h hello.pro html global result + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh new file mode 100644 index 0000000..b8ae61a --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh @@ -0,0 +1,64 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-doctools binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-doctools" + cp -r /usr/share/doc/qt5/global/ ../example* ../hello* ./ + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + qdoc example.qdocconf -outputdir ./html --log-progress >result 2>&1 + CHECK_RESULT $? + grep -i "log" result + CHECK_RESULT $? + qdoc example.qdocconf --write-qa-pages + CHECK_RESULT $? + grep "page" ./html/.index + CHECK_RESULT $? + rm -rf html + qdoc example.qdocconf -I ./html + CHECK_RESULT $? + test -f ./html/.index && rm -rf ./html/.index + CHECK_RESULT $? + test -d ./html/images/ && rm -rf ./html/images/ + CHECK_RESULT $? + qdoc example.qdocconf --isystem ./html/ + CHECK_RESULT $? + grep -i "system" ./html/.index + CHECK_RESULT $? + qdoc example.qdocconf -F ./example.qdoc --debug >result 2>&1 + CHECK_RESULT $? + grep -i "include" result + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-doctools" + rm -rf example.qdoc example.qdocconf hello.cpp hello.h hello.pro html global result + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/assistant.qhp b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/assistant.qhp new file mode 100644 index 0000000..0b02766 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/assistant.qhp @@ -0,0 +1,24 @@ + + +myHelp +doc + + +
+
+
+
+
+ + + + + + html/index.html + html/fst.html + html/snd.html + html/img/*.jpg + +
+
+ diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh new file mode 100644 index 0000000..e43af65 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh @@ -0,0 +1,53 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-doctools binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-doctools" + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + qhelpgenerator-qt5 assistant.qhp -o outputfile | grep "Building up" + CHECK_RESULT $? + test -f outputfile && rm -rf outputfile + CHECK_RESULT $? + qhelpgenerator-qt5 assistant.qhp -c -o outputfile | grep "custom filters" + CHECK_RESULT $? + test -f outputfile && rm -rf outputfile + CHECK_RESULT $? + qhelpgenerator-qt5 assistant.qhp -s -o outputfile | grep "Building up" + CHECK_RESULT $? 0 1 + qhelpgenerator-qt5 -v | grep -E "Help Generator | ${qt5_version}" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-doctools" + rm -rf outputfile + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/expect_result b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/expect_result new file mode 100644 index 0000000..378c4e7 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/expect_result @@ -0,0 +1,8 @@ +{ + "IID": "org.qt-project.Qt.QDesignerCustomWidget", + "MetaData": { + }, + "className": "MultiPageWidgetPlugin", + "debug": false, + "version": 330497 +} diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/expect_result2 b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/expect_result2 new file mode 100644 index 0000000..9d1df8b --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/expect_result2 @@ -0,0 +1,3 @@ +IID "org.qt-project.Qt.QDesignerCustomWidget" Qt 5.11.1 (release) +User Data: [ +] diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/help.qhcp b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/help.qhcp new file mode 100644 index 0000000..e7ea4cd --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/help.qhcp @@ -0,0 +1,29 @@ + + + +我的帮助系统 + +cache/myHelp +qthelp://myhelp/doc/html/index.html +qthelp://myhelp/doc/html/index.html + + 关于该帮助 + + + +false +false +false + + + + + assistant.qhp + assistant.qch + + + + assistant.qch + + + diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh new file mode 100644 index 0000000..d8f7153 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh @@ -0,0 +1,63 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/20 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-qttools-devel binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-qttools-devel" + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + cp /usr/lib64/qt5/plugins/designer/libcontainerextension.so ./example.so + cp ../oe_test_qt5-qttools_qhelpgenerator-qt5/assistant.qhp ./ + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + qcollectiongenerator-qt5 -o help.qhc help.qhcp | grep "Documentation successfully" + CHECK_RESULT $? + grep -a "Documentation" help.qhc + CHECK_RESULT $? + qcollectiongenerator-qt5 -v | grep -E "Collection Generator|${qt5_version}" + CHECK_RESULT $? + qtplugininfo-qt5 --full-json example.so >actul_result + CHECK_RESULT $? + diff actul_result expect_result + CHECK_RESULT $? + qtplugininfo-qt5 -f indented example.so >actul_result2 + CHECK_RESULT $? + diff actul_result2 expect_result2 + CHECK_RESULT $? + qtplugininfo-qt5 -p classname example.so | grep "class MultiPageWidgetPlugin" + CHECK_RESULT $? + qtplugininfo-qt5 -h | grep -E "qtplugininfo-qt5|help" + CHECK_RESULT $? + qtplugininfo-qt5 -v | grep "qplugininfo ${qt5_version}" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-qttools-devel" + rm -rf ./actul_result* example.so ./assistant* help.qhc + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh new file mode 100644 index 0000000..6b57ca6 --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh @@ -0,0 +1,63 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/11/19 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-doctools binary package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "qt5-qttools qt5-doctools" + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + qtattributionsscanner-qt5 -h | grep -E "qtattributionsscanner-qt5 |help" + CHECK_RESULT $? + qtattributionsscanner-qt5 -v | grep "Qt Attributions Scanner" + CHECK_RESULT $? + qtattributionsscanner-qt5 --verbose --output-format json -o outputfile.json ./ >result 2>&1 + CHECK_RESULT $? + grep "json" result && rm -rf result + CHECK_RESULT $? + qtattributionsscanner-qt5 --filter QDocModule=qtcore -o outputfile.json ./ + CHECK_RESULT $? + grep "qtcore" outputfile.json + CHECK_RESULT $? + qtattributionsscanner-qt5 --verbose --basedir ./ -o outputfile.json ./ >result 2>&1 + CHECK_RESULT $? + grep "scanning ./" result && rm -rf result + CHECK_RESULT $? + qtattributionsscanner-qt5 --verbose -o outputfile.json ./ >result 2>&1 + CHECK_RESULT $? + grep "done" result && rm -rf result + CHECK_RESULT $? + test -z "$(qtattributionsscanner-qt5 -s -o outputfile.json ./)" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "qt5-qttools qt5-doctools" + rm -rf outputfile.json + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/qt_attribution.json b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/qt_attribution.json new file mode 100644 index 0000000..5fe232c --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/qt_attribution.json @@ -0,0 +1,7 @@ +{ + "Id": "cmake_topological_sort", + "Name": "CMake Topological Sort", + "License": "Boost Software License - Version 1.0", + "Copyright": "Copyright 2010 Kitware, Inc", + "QDocModule": "qtcore" +} diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh new file mode 100644 index 0000000..cf4509c --- /dev/null +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh @@ -0,0 +1,75 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/20 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in qt5-qttools package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL qt5-qttools + qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + mkdir -p ~/Documents/qt5dir + touch ~/Documents/qtfile + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + qtpaths -h | grep -E "qtpaths|help" + CHECK_RESULT $? + qtpaths -v | grep "qtpaths" + CHECK_RESULT $? + qtpaths --types Documents | grep "Location" + CHECK_RESULT $? + qtpaths --paths DocumentsLocation Documents | grep "/root/Documents" + CHECK_RESULT $? + qtpaths --writable-path DocumentsLocation Documents | grep "/root/Documents" + CHECK_RESULT $? + qtpaths --locate-dir DocumentsLocation qt5dir | grep "/root/Documents/qt5dir" + CHECK_RESULT $? + qtpaths --locate-dirs DocumentsLocation qt5dir | grep "/root/Documents/qt5dir" + CHECK_RESULT $? + qtpaths --locate-file DocumentsLocation qtfile | grep "/root/Documents/qtfile" + CHECK_RESULT $? + qtpaths --locate-files DocumentsLocation qtfile | grep "/root/Documents/qtfile" + CHECK_RESULT $? + qtpaths --find-exe mkdir | grep "/usr/bin/mkdir" + CHECK_RESULT $? + qtpaths --display DocumentsLocation qtfile | grep "Documents" + CHECK_RESULT $? + qtpaths --qt-version | grep "${qt5_version}" + CHECK_RESULT $? + qtpaths --install-prefix | grep "/usr" + CHECK_RESULT $? + qtpaths --binaries-dir | grep "/usr/lib64/qt5/bin" + CHECK_RESULT $? + qtpaths --plugin-dir | grep "/usr/lib64/qt5/plugins" + CHECK_RESULT $? + qtpaths --testmode --paths DocumentsLocation Documents | grep "/root/Documents" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE qt5-qttools + rm -rf ~/Documents + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/example.i b/testcases/cli-test/swig/example.i new file mode 100644 index 0000000..60df738 --- /dev/null +++ b/testcases/cli-test/swig/example.i @@ -0,0 +1,14 @@ +/* example.i */ +%module example +%{ +/* Put header files here or function declarations like below */ +extern double My_variable; +extern int fact(int n); +extern int my_mod(int x, int y); +extern char *get_time(); +%} + +extern double My_variable; +extern int fact(int n); +extern int my_mod(int x, int y); +extern char *get_time(); diff --git a/testcases/cli-test/swig/oe_test_swig_01.sh b/testcases/cli-test/swig/oe_test_swig_01.sh new file mode 100644 index 0000000..6e3c919 --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_01.sh @@ -0,0 +1,75 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/14 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -csharp -v example.i | grep "csharp" + CHECK_RESULT $? + test -f example.cs -a -f examplePINVOKE.cs -a -f example_wrap.c && rm -rf example.cs examplePINVOKE.cs example_wrap.c + CHECK_RESULT $? + swig -d -v example.i | grep "d" + CHECK_RESULT $? + test -f example.d -a -f example_im.d -a -f example_wrap.c && rm -rf example.d example_im.d example_wrap.c + CHECK_RESULT $? + swig -go -v -intgosize 32 example.i | grep "go" + CHECK_RESULT $? + test -f example.go -a -f example_wrap.c && rm -rf example_gc.c example.go example_wrap.c + CHECK_RESULT $? + swig -guile -v example.i | grep "guile" + CHECK_RESULT $? + test -f example_wrap.c && rm -rf example_wrap.c + CHECK_RESULT $? + swig -java -v example.i | grep "java" + CHECK_RESULT $? + test -f example.java -a -f exampleJNI.java -a -f example_wrap.c && rm -rf example.java exampleJNI.java example_wrap.c + CHECK_RESULT $? + swig -javascript -v8 -v example.i | grep "javascript/v8" + CHECK_RESULT $? + test -f example_wrap.c && rm -rf example_wrap.c + CHECK_RESULT $? + swig -lua -v example.i | grep "lua" + CHECK_RESULT $? + test -f example_wrap.c && rm -rf example_wrap.c + CHECK_RESULT $? + swig -mzscheme -v example.i | grep "mzscheme" + CHECK_RESULT $? + test -f example_wrap.c && rm -rf example_wrap.c + CHECK_RESULT $? + swig -ocaml -v example.i | grep "ocaml" + CHECK_RESULT $? + test -f example.ml -a -f example.mli -a -f example_wrap.c && rm -rf example.ml example.mli example_wrap.c + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_02.sh b/testcases/cli-test/swig/oe_test_swig_02.sh new file mode 100644 index 0000000..f917547 --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_02.sh @@ -0,0 +1,76 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/14 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -octave -v example.i | grep "octave" + CHECK_RESULT $? + test -f example_wrap.cxx && rm -rf example_wrap.cxx + CHECK_RESULT $? + swig -perl -v example.i | grep "perl" + CHECK_RESULT $? + test -f example.pm -a -f example_wrap.c && rm -rf example.pm example_wrap.c + CHECK_RESULT $? + swig -php7 -v example.i | grep "php" + CHECK_RESULT $? + test -f example.php -a -f example_wrap.c -a -f php_example.h && rm -rf example.php example_wrap.c php_example.h + CHECK_RESULT $? + swig -python -v example.i | grep "python" + CHECK_RESULT $? + test -f example.py -a -f example_wrap.c && rm -rf example.py example_wrap.c + CHECK_RESULT $? + swig -r -v example.i | grep "subdirectory: r" + CHECK_RESULT $? + test -f example.R -a -f example_wrap.c && rm -rf example.R example_wrap.c + CHECK_RESULT $? + swig -ruby -v example.i | grep "ruby" + CHECK_RESULT $? + test -f example_wrap.c && rm -rf example_wrap.c + CHECK_RESULT $? + swig -scilab -v example.i | grep "scilab" + CHECK_RESULT $? + test -f example_wrap.c -a -f loader.sce && rm -rf example_wrap.c loader.sce + CHECK_RESULT $? + swig -tcl -v example.i | grep "tcl" + CHECK_RESULT $? + test -f example_wrap.c && rm -rf example_wrap.c + CHECK_RESULT $? + swig -xml -c++ example.i + CHECK_RESULT $? + test -f example_wrap.xml && rm -rf example_wrap.xml + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + rm -rf example_wrap.xml + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_03.sh b/testcases/cli-test/swig/oe_test_swig_03.sh new file mode 100644 index 0000000..47cf9a7 --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_03.sh @@ -0,0 +1,77 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/14 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -help | grep swig + CHECK_RESULT $? + swig -java -addextern example.i + CHECK_RESULT $? + grep "extern double My_variable;"$'\n'"int fact(int);" example_wrap.c + CHECK_RESULT $? + test -f example.java -a -f exampleJNI.java && rm -rf example.java exampleJNI.java example_wrap.c + CHECK_RESULT $? + swig -java -c++ -v example.i | grep "C++ analysis" + CHECK_RESULT $? + grep "ThrowNew(excep, msg)" example_wrap.cxx + CHECK_RESULT $? + test -f example.java -a -f exampleJNI.java && rm -rf example.java exampleJNI.java example_wrap.cxx + CHECK_RESULT $? + swig -java -copyctor example.i + CHECK_RESULT $? + grep "ctor" example_wrap.c + CHECK_RESULT $? + test -f example.java -a -f exampleJNI.java && rm -rf example.java exampleJNI.java example_wrap.c + CHECK_RESULT $? + swig -java -cpperraswarn example.i + CHECK_RESULT $? + grep -iE "err|warn" example_wrap.c + CHECK_RESULT $? + test -f example.java -a -f exampleJNI.java && rm -rf example.java exampleJNI.java example_wrap.c + CHECK_RESULT $? + swig -c++ -cppext json example.i + CHECK_RESULT $? + test -f example_wrap.json && rm -rf example_wrap.json + CHECK_RESULT $? + swig -java -copyright example.i | grep -i "copyright" + CHECK_RESULT $? + swig -java -debug-classes example.i | grep -i "classes" + CHECK_RESULT $? + swig -java -debug-module 3 example.i | grep "debug-module stage 3" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + del_file=$(ls | grep -vE ".sh|example.i|expect_result|example.py") + rm -rf ${del_file} + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_04.sh b/testcases/cli-test/swig/oe_test_swig_04.sh new file mode 100644 index 0000000..184340b --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_04.sh @@ -0,0 +1,59 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/14 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + del_file=$(ls | grep -vE ".sh|example.i|expect_result") + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -java -debug-symtabs example.i | grep -i "symbol tables" + CHECK_RESULT $? + swig -java -debug-symbols example.i | grep -i "symbols" + CHECK_RESULT $? + swig -java -debug-csymbols example.i | grep -i "csymbols" + CHECK_RESULT $? + swig -java -debug-lsymbols example.i | grep -i "language symbols" + CHECK_RESULT $? + swig -java -debug-tags example.i | grep -i "include" + CHECK_RESULT $? + swig -java -debug-top 3 example.i | grep -i "debug-top stage 3" + CHECK_RESULT $? + swig -java -debug-typedef example.i | grep -i "scope" + CHECK_RESULT $? + swig -java -debug-typemap example.i | grep -i "typemap" + CHECK_RESULT $? + swig -java -debug-tmsearch example.i | grep -i "search" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + rm -rf ${del_file} example_im.d example.itcl + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_05.sh b/testcases/cli-test/swig/oe_test_swig_05.sh new file mode 100644 index 0000000..64c099f --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_05.sh @@ -0,0 +1,76 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/14 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -java -debug-tmused example.i | grep -i "typemap" + CHECK_RESULT $? + swig -java -debug-template example.i + CHECK_RESULT $? + grep -i "template" example_wrap.c + CHECK_RESULT $? + swig -java -directors example.i + CHECK_RESULT $? + grep -i "director" example_wrap.c + CHECK_RESULT $? + swig -java -dirprot example.i + CHECK_RESULT $? + grep -i "jclass excep" -A 20 example_wrap.c + CHECK_RESULT $? + swig -d -D example.i + CHECK_RESULT $? + grep " D " example_wrap.c + CHECK_RESULT $? + swig -java -E example.i | grep -E ' swig.swg|typemap|rename predicates|endoffile' + CHECK_RESULT $? + cp example.i example-bak.i + swig -java -external-runtime example.i + CHECK_RESULT $? + diff example.i example-bak.i >log + CHECK_RESULT $? 1 + cp example-bak.i example.i + swig -java -fakeversion 6 example.i + CHECK_RESULT $? + grep -i "version 6" example.java + CHECK_RESULT $? + rm -rf example_wrap.c + swig -java -fcompact example.i + CHECK_RESULT $? + grep "int arg1 ; int arg2 ;" example_wrap.c + CHECK_RESULT $? 0 + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + del_file=$(ls | grep -vE ".sh|example.i|expect_result") + rm -rf ${del_file} example_im.d example.itcl + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_06.sh b/testcases/cli-test/swig/oe_test_swig_06.sh new file mode 100644 index 0000000..a84b30f --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_06.sh @@ -0,0 +1,81 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/15 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -java -fvirtual example.i + CHECK_RESULT $? + grep -i "virtual" example_wrap.c + CHECK_RESULT $? + swig -java -I- example.i + CHECK_RESULT $? + grep -i "/swig" example_wrap.c + CHECK_RESULT $? + current_path=$( + cd "$(dirname $0)" || exit 1 + pwd + ) + swig -module swig -java -I ${current_path}/ + CHECK_RESULT $? + test -f swig.java -a -f swigJNI.java -a -f _wrap.c && rm -rf swig.java swigJNI.java _wrap.c + CHECK_RESULT $? + swig -java -ignoremissing example.i + CHECK_RESULT $? + grep -i "miss" example_wrap.c + CHECK_RESULT $? 1 + swig -java -importall example.i + CHECK_RESULT $? + grep -i "#include" example_wrap.c + CHECK_RESULT $? + swig -java -includeall example.i + CHECK_RESULT $? + grep -i "#include "$'\n'"#include "$'\n'"#include " example_wrap.c + CHECK_RESULT $? + swig -java -lexample.i example.i + CHECK_RESULT $? + grep "example" example_wrap.c + CHECK_RESULT $? + swig -java -macroerrors example.i + CHECK_RESULT $? + grep -i "macro" example_wrap.c + CHECK_RESULT $? + swig -java -makedefault example.i + CHECK_RESULT $? + grep -i "default" example_wrap.c + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + rm -rf $(ls | grep -vE ".sh|example.i|expect_resulti") + rm -rf example_im.d example.itcl + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_07.sh b/testcases/cli-test/swig/oe_test_swig_07.sh new file mode 100644 index 0000000..738e467 --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_07.sh @@ -0,0 +1,71 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/15 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -java -M example.i | grep ".swg" + CHECK_RESULT $? + swig -java -MD example.i + CHECK_RESULT $? + test -f example_wrap.d && rm -rf example_wrap.d + CHECK_RESULT $? + swig -java -M -MF file example.i + CHECK_RESULT $? + grep -E "example_wrap.c|/usr/share/swig/|example.i" file + CHECK_RESULT $? + swig -java -MM example.i | grep "/usr/share/swig/3.0.12/" + CHECK_RESULT $? 0 1 + swig -java -MMD example.i + CHECK_RESULT $? + test -f example_wrap.d && rm -rf example_wrap.d + CHECK_RESULT $? + swig -java -module name example.i + CHECK_RESULT $? + test -f name.java -a -f nameJNI.java && rm -rf name.java nameJNI.java + CHECK_RESULT $? + swig -java -MP example.i + CHECK_RESULT $? + grep -i "exampleJNI" example_wrap.c + CHECK_RESULT $? + swig -java -MT target example.i + CHECK_RESULT $? + swig -java -nocontract example.i + CHECK_RESULT $? + grep -iE "contract|nullreturn" example_wrap.c + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + rm -rf $(ls | grep -vE ".sh|example.i|expect_result") + rm -rf example_im.d example.itcl + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_08.sh b/testcases/cli-test/swig/oe_test_swig_08.sh new file mode 100644 index 0000000..db98185 --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_08.sh @@ -0,0 +1,74 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/15 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -java -nocpperraswarn example.i + CHECK_RESULT $? + grep "erro" example_wrap.c + CHECK_RESULT $? 1 + swig -java -nodefault example.i >swiglog 2>&1 + CHECK_RESULT $? + grep nodefault swiglog + CHECK_RESULT $? + swig -java -nodefaultctor example.i + CHECK_RESULT $? + grep -i "defaultctor" example_wrap.c + CHECK_RESULT $? 1 + swig -java -oh headfile example.i + CHECK_RESULT $? + grep "head" example_wrap.c + CHECK_RESULT $? + swig -java -nodirprot example.i + CHECK_RESULT $? + grep "dirprot" example_wrap.c + CHECK_RESULT $? 1 + swig -java -noexcept example.i + CHECK_RESULT $? + grep "int fact(int);" example_wrap.c + CHECK_RESULT $? 1 + swig -java -nofastdispatch example.i + CHECK_RESULT $? + grep -i "fast" example_wrap.c + CHECK_RESULT $? 1 + swig -java -E example.i >result + swig -java -nopreprocess result + CHECK_RESULT $? + grep -i "preprocess" example_wrap.c + CHECK_RESULT $? 1 + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + rm -rf $(ls | grep -vE ".sh|example.i|expect_result") + rm -rf example_im.d example.itcl + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_09.sh b/testcases/cli-test/swig/oe_test_swig_09.sh new file mode 100644 index 0000000..89db5ae --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_09.sh @@ -0,0 +1,78 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/15 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -java -templatereduce example.i + CHECK_RESULT $? + grep -i "type" example_wrap.c + CHECK_RESULT $? + swig_version=$(rpm -qa swig | awk -F '-' '{print $2}') + swig -java -version example.i | grep "${swig_version}" + CHECK_RESULT $? + swig -java -Wall example.i + CHECK_RESULT $? + grep -i "warn" example_wrap.c + CHECK_RESULT $? + swig -java -Wallkw example.i + CHECK_RESULT $? + grep -i "silence that warning" example_wrap.c + CHECK_RESULT $? + swig -java -Werror example.i + CHECK_RESULT $? + grep -i "JavaOutOfMemoryError = 1" example_wrap.c + CHECK_RESULT $? + swig -java -Wextra example.i + CHECK_RESULT $? + grep -i "erro" example_wrap.c + CHECK_RESULT $? + swig -java -w401 example.i + CHECK_RESULT $? + grep -i "4505" example_wrap.c + CHECK_RESULT $? + swig -java -xmlout outfile1 example.i + CHECK_RESULT $? + grep "xml version=" outfile1 + CHECK_RESULT $? + swig -tcl -itcl example.i + CHECK_RESULT $? + test -f example.itcl && rm -rf example.itcl + CHECK_RESULT $? + grep "tclrun.swg" example_wrap.c + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + rm -rf $(ls | grep -vE ".sh|example.i|expect_result") + rm -rf example_im.d example.itcl + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_10.sh b/testcases/cli-test/swig/oe_test_swig_10.sh new file mode 100644 index 0000000..1ea68a4 --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_10.sh @@ -0,0 +1,70 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/15 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -java -notemplatereduce example.i + CHECK_RESULT $? + grep -i "reduce" example_wrap.c + CHECK_RESULT $? 1 + swig -java -O example.i + CHECK_RESULT $? + grep -i "mod" example_wrap.c + CHECK_RESULT $? + swig -java -c++ -o outfile example.i + CHECK_RESULT $? + test -f outfile + CHECK_RESULT $? + swig -java -outcurrentdir example.i + CHECK_RESULT $? + test -f example.java -a -f exampleJNI.java -a -f example_wrap.c && example.java exampleJNI.java example_wrap.c + swig -java -outdir /tmp example.i + CHECK_RESULT $? + test -f /tmp/example.java -a -f /tmp/exampleJNI.java && rm -rf /tmp/example.java /tmp/exampleJNI.java + CHECK_RESULT $? + swig -java -pcreversion example.i | grep -i "pcre version" + CHECK_RESULT $? + swig -java example.i + cp example_wrap.c example_wrap.c-bak + swig -java -small example.i + CHECK_RESULT $? + diff -q example_wrap.c example_wrap.c-bak + CHECK_RESULT $? 0 1 + swig -java -swiglib example.i | grep "/usr/share/swig" + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + rm -rf $(ls | grep -vE ".sh|example.i|expect_result") + rm -rf example_im.d example.itcl + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/swig/oe_test_swig_11.sh b/testcases/cli-test/swig/oe_test_swig_11.sh new file mode 100644 index 0000000..b103382 --- /dev/null +++ b/testcases/cli-test/swig/oe_test_swig_11.sh @@ -0,0 +1,74 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/15 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in swig package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL swig + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + swig -tcl -nosafe example.i + CHECK_RESULT $? + grep -i "SafeInit" example_wrap.c + CHECK_RESULT $? 1 + swig -tcl -prefix pre example.i + CHECK_RESULT $? + grep -i "define SWIG_prefix" example_wrap.c + CHECK_RESULT $? + swig -tcl -namespace example.i + CHECK_RESULT $? + grep "define SWIG_namespace" example_wrap.c + CHECK_RESULT $? + swig -tcl -pkgversion 3 example.i + CHECK_RESULT $? + grep -i "define SWIG_version" example_wrap.c + CHECK_RESULT $? + swig -ocaml -features 6 example.i + CHECK_RESULT $? + grep -E "SWIG_DivisionByZero|-6" example_wrap.c + CHECK_RESULT $? + swig -java -fastdispatch example.i + CHECK_RESULT $? + cp example.i example-bak.i + sed -i '2s/module/modle/g' example.i + swig -java -Fstandard example.i >standard 2>&1 + CHECK_RESULT $? 1 + grep "example.i:9" standard + CHECK_RESULT $? + swig -java -Fmicrosoft example.i >microsoft 2>&1 + CHECK_RESULT $? 1 + grep "example.i(9)" microsoft + CHECK_RESULT $? + cp example-bak.i example.i && rm -rf example-bak.i + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE swig + rm -rf $(ls | grep -vE ".sh|example.i|expect_result") + rm -rf example_im.d example.itcl + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/tcllib/calculator.peg b/testcases/cli-test/tcllib/calculator.peg new file mode 100644 index 0000000..128bfcb --- /dev/null +++ b/testcases/cli-test/tcllib/calculator.peg @@ -0,0 +1,11 @@ +PEG calculator (Expression) + Digit <- '0'/'1'/'2'/'3'/'4'/'5'/'6'/'7'/'8'/'9' ; + Sign <- '-' / '+' ; + Number <- Sign? Digit+ ; + Expression <- Term (AddOp Term)* ; + MulOp <- '*' / '/' ; + Term <- Factor (MulOp Factor)* ; + AddOp <- '+'/'-' ; + Factor <- '(' Expression ')' / Number ; +END; + diff --git a/testcases/cli-test/tcllib/example.doc b/testcases/cli-test/tcllib/example.doc new file mode 100644 index 0000000..3b5ae20 --- /dev/null +++ b/testcases/cli-test/tcllib/example.doc @@ -0,0 +1,9 @@ +[manpage_begin NAME SECTION VERSION] +[copyright {YEAR AUTHOR}] +[titledesc TITLE] +[moddesc MODULE_TITLE] +[require PACKAGE VERSION] +[require PACKAGE] +[description] +[manpage_end] + diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh b/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh new file mode 100644 index 0000000..3438c8b --- /dev/null +++ b/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh @@ -0,0 +1,85 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/16 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in tcllib package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "tcllib xinetd" + sed -i '6s/yes/no/g' /etc/xinetd.d/echo-stream + systemctl restart xinetd + current_path=$( + cd "$(dirname $0)" || exit 1 + pwd + ) + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + dtplite -o ${current_path} html example.doc + CHECK_RESULT $? + grep "example " example.html && rm -rf example.html + CHECK_RESULT $? + mkdir doc || exit 1 + cp example.doc doc/ + dtplite -o ${current_path} -merge html ${current_path}/doc | grep "example.doc" + CHECK_RESULT $? + grep "doc/files/example.html" toc.html && rm -rf toc.html doc/ + CHECK_RESULT $? + dtplite -o ${current_path} -raw html example.doc + CHECK_RESULT $? + grep "" example.html + CHECK_RESULT $? 0 1 + dtplite -o ${current_path} -ext ext html example.doc + CHECK_RESULT $? + grep "text/css" example.ext + CHECK_RESULT $? + dtplite -o ${current_path} -style example.doc html example.doc + CHECK_RESULT $? + grep "style" example.html + CHECK_RESULT $? + dtplite -o ${current_path} -header example.doc html example.doc + CHECK_RESULT $? + grep "<body>\[manpage_begin" example.html + CHECK_RESULT $? + dtplite -o ${current_path} -footer example.doc html example.doc + CHECK_RESULT $? + grep "manpage" example.html + CHECK_RESULT $? + dtplite -o ${current_path} -module example.doc html example.doc + CHECK_RESULT $? + grep "example.doc" example.html + CHECK_RESULT $? + dtplite -o exampledoc -nav example.doc https://www.baidu.com html example.doc + CHECK_RESULT $? + grep "https://www.baidu.com" exampledoc + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "tcllib xinetd" + del_file=$(ls | grep -vE ".sh|example.doc|calculator.peg") + rm -rf ${del_file} ./.idx ./.tocdoc ./.xrf ./.toc + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh b/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh new file mode 100644 index 0000000..105c935 --- /dev/null +++ b/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh @@ -0,0 +1,70 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/16 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in tcllib package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "tcllib xinetd" + sed -i '6s/yes/no/g' /etc/xinetd.d/echo-stream + systemctl restart xinetd + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + nns bind -host localhost -port 9 NAME DATA & + SLEEP_WAIT 20 + nnsd -localonly true -port 9 & + SLEEP_WAIT 20 + nns search -host localhost -port 9 | grep "Searching" + CHECK_RESULT $? + SLEEP_WAIT 60 + nnslog -host localhost -port 9 & + CHECK_RESULT $? + nns search -host localhost -port 9 -continuous PATTERN & + CHECK_RESULT $? + nns ident -host localhost -port 9 | grep -E "Server localhost|Protocol|Features" + CHECK_RESULT $? + nns who | grep "nns" + CHECK_RESULT $? + pid=$(jobs -l | grep "nns" | awk '{print $2}') + kill -9 $pid + CHECK_RESULT $? + tcldocstrip -guards example.doc + CHECK_RESULT $? + tcldocstrip outputfile example.doc guards + CHECK_RESULT $? + grep -E "manpage|tcldocstrip utility" outputfile + CHECK_RESULT $? + pt generate snit calculator.tcl peg calculator.peg | grep "OK" + CHECK_RESULT $? + grep -iE "tcl|calculator.peg" calculator.tcl + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "tcllib xinetd" + rm -rf outputfile calculator.tcl + LOG_INFO "End to restore the test environment." +} + +main "$@" diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_page.sh b/testcases/cli-test/tcllib/oe_test_tcllib_page.sh new file mode 100644 index 0000000..14dc958 --- /dev/null +++ b/testcases/cli-test/tcllib/oe_test_tcllib_page.sh @@ -0,0 +1,82 @@ +#!/usr/bin/bash + +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# This program is licensed under Mulan PSL v2. +# You can use it according to the terms and conditions of the Mulan PSL v2. +# http://license.coscl.org.cn/MulanPSL2 +# THIS PROGRAM IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +# EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +# MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +# See the Mulan PSL v2 for more details. + +# ############################################# +# @Author : liujingjing +# @Contact : liujingjing25812@163.com +# @Date : 2020/10/16 +# @License : Mulan PSL v2 +# @Desc : The usage of commands in tcllib package +# ############################################ + +source "$OET_PATH/libs/locallibs/common_lib.sh" + +function pre_test() { + LOG_INFO "Start to prepare the test environment." + DNF_INSTALL "tcllib xinetd" + sed -i '6s/yes/no/g' /etc/xinetd.d/echo-stream + systemctl restart xinetd + tcl_ver=$(rpm -qa tcl | awk -F '-' '{print $2}' | awk -F '.' '{print $1"."$2}') + tcllib_ver=$(rpm -qa tcllib | awk -F '-' '{print $2}') + tcldir=$(echo /usr/share/tcl${tcl_ver}/tcllib-${tcllib_ver}/page/plugins) + LOG_INFO "End to prepare the test environment." +} + +function run_test() { + LOG_INFO "Start to run test." + page -V >version 2>&1 + grep page version + CHECK_RESULT $? + page -r ${tcldir}/reader_peg.tcl -w ${tcldir}/writer_tree.tcl -P calculator.peg calculator >log 2>&1 + CHECK_RESULT $? + grep "Read 562 characters" log + CHECK_RESULT $? + grep "node" calculator + CHECK_RESULT $? + page -r ${tcldir}/reader_peg.tcl -w ${tcldir}/writer_tree.tcl -v calculator.peg calculator | grep info + CHECK_RESULT $? + page -r ${tcldir}/reader_peg.tcl -w ${tcldir}/writer_tree.tcl -p calculator.peg calculator >log 2>&1 + CHECK_RESULT $? + grep -E "calculator.peg|calculator" log + CHECK_RESULT $? + page -r ${tcldir}/reader_peg.tcl -w ${tcldir}/writer_tree.tcl -q calculator.peg calculator | grep info + CHECK_RESULT $? 1 + page -r ${tcldir}/reader_peg.tcl -w ${tcldir}/writer_tree.tcl -T calculator.peg calculator >log 2>&1 + CHECK_RESULT $? + grep "Statistics" log + CHECK_RESULT $? + page -rd ${tcldir}/reader_peg.tcl -wr ${tcldir}/writer_tree.tcl calculator.peg calculator >log 2>&1 + CHECK_RESULT $? + grep "PEG Normalization" log + CHECK_RESULT $? + page -r ${tcldir}/reader_peg.tcl -w ${tcldir}/writer_tree.tcl -a calculator.peg calculator + CHECK_RESULT $? + grep "Expression" calculator + CHECK_RESULT $? + page -r ${tcldir}/reader_peg.tcl -w ${tcldir}/writer_tree.tcl --reset calculator.peg calculator + CHECK_RESULT $? + grep "list" calculator + CHECK_RESULT $? 1 + page --configuration ${tcldir}/config_peg.tcl -r ${tcldir}/reader_peg.tcl -w ${tcldir}/writer_tree.tcl calculator.peg calculator + CHECK_RESULT $? + grep "Digit" calculator + CHECK_RESULT $? + LOG_INFO "End to run test." +} + +function post_test() { + LOG_INFO "Start to restore the test environment." + DNF_REMOVE "tcllib xinetd" + rm -rf calculator version log + LOG_INFO "End to restore the test environment." +} + +main "$@" -- Gitee From 08d0a4c218fa128a4fbce92c1a2e42fa96a54e33 Mon Sep 17 00:00:00 2001 From: liujingjing <liujingjing25812@163.com> Date: Thu, 18 Feb 2021 09:29:04 +0800 Subject: [PATCH 2/7] update 2021 --- testcases/cli-test/multipath-tools/common_multipath-tools.sh | 2 +- testcases/cli-test/ndisc6/common_ndisc6.sh | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/testcases/cli-test/multipath-tools/common_multipath-tools.sh b/testcases/cli-test/multipath-tools/common_multipath-tools.sh index acdee3b..20c2883 100644 --- a/testcases/cli-test/multipath-tools/common_multipath-tools.sh +++ b/testcases/cli-test/multipath-tools/common_multipath-tools.sh @@ -1,5 +1,5 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/common_ndisc6.sh b/testcases/cli-test/ndisc6/common_ndisc6.sh index 55df9fe..963944c 100644 --- a/testcases/cli-test/ndisc6/common_ndisc6.sh +++ b/testcases/cli-test/ndisc6/common_ndisc6.sh @@ -1,5 +1,5 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 -- Gitee From e282638911cbbd889328f23a453eb4b5ac5d14c1 Mon Sep 17 00:00:00 2001 From: liujingjing <liujingjing25812@163.com> Date: Fri, 5 Mar 2021 15:53:01 +0800 Subject: [PATCH 3/7] update --- testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh | 4 ++-- testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh | 2 +- .../cli-test/multipath-tools/common_multipath-tools.sh | 6 +----- .../multipath-tools/oe_test_multipath-tools_kpartx.sh | 4 ++-- .../multipath-tools/oe_test_multipath-tools_mpathconf.sh | 2 +- .../oe_test_multipath-tools_mpathpersist.sh | 2 +- .../oe_test_multipath-tools_multipath_01.sh | 4 ++-- .../oe_test_multipath-tools_multipath_02.sh | 8 ++++---- testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh | 2 +- testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh | 2 +- testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh | 2 +- .../cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh | 2 +- .../cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh | 2 +- .../cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh | 2 +- .../cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh | 2 +- testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh | 2 +- testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh | 2 +- .../oe_test_qt5-qttools_lconvert-qt5.sh | 2 +- .../oe_test_qt5-qttools_lrelease-qt5.sh | 2 +- .../oe_test_qt5-qttools_lupdate-qt5_01.sh | 2 +- .../oe_test_qt5-qttools_lupdate-qt5_02.sh | 2 +- .../oe_test_qt5-qttools_qdbus-qt5.sh | 2 +- .../oe_test_qt5-qttools_qdoc_01.sh | 2 +- .../oe_test_qt5-qttools_qdoc_02.sh | 2 +- .../oe_test_qt5-qttools_qdoc_03.sh | 2 +- .../oe_test_qt5-qttools_qhelpgenerator-qt5.sh | 2 +- .../oe_test_qt5-qttools_qt5-qttools-devel.sh | 2 +- .../oe_test_qt5-qttools_qtattributionsscanner-qt5.sh | 2 +- .../oe_test_qt5-qttools_qtpaths.sh | 2 +- testcases/cli-test/swig/oe_test_swig_01.sh | 2 +- testcases/cli-test/swig/oe_test_swig_02.sh | 2 +- testcases/cli-test/swig/oe_test_swig_03.sh | 4 ++-- testcases/cli-test/swig/oe_test_swig_04.sh | 2 +- testcases/cli-test/swig/oe_test_swig_05.sh | 2 +- testcases/cli-test/swig/oe_test_swig_06.sh | 2 +- testcases/cli-test/swig/oe_test_swig_07.sh | 2 +- testcases/cli-test/swig/oe_test_swig_08.sh | 2 +- testcases/cli-test/swig/oe_test_swig_09.sh | 2 +- testcases/cli-test/swig/oe_test_swig_10.sh | 2 +- testcases/cli-test/swig/oe_test_swig_11.sh | 2 +- testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh | 2 +- testcases/cli-test/tcllib/oe_test_tcllib_nns.sh | 2 +- testcases/cli-test/tcllib/oe_test_tcllib_page.sh | 2 +- 51 files changed, 58 insertions(+), 62 deletions(-) diff --git a/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh b/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh index a0731d6..b369160 100644 --- a/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh +++ b/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 @@ -22,12 +22,12 @@ source "$OET_PATH/libs/locallibs/common_lib.sh" function pre_test() { LOG_INFO "Start to prepare the test environment." DNF_INSTALL libwbxml - xml2wbxml -o input.wbxml input.xml LOG_INFO "End to prepare the test environment." } function run_test() { LOG_INFO "Start to run test." + xml2wbxml -o input.wbxml input.xml wbxml2xml -o output.xml input.wbxml CHECK_RESULT $? grep -i "Polic" output.xml diff --git a/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh b/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh index 1505828..92e10dc 100644 --- a/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh +++ b/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/multipath-tools/common_multipath-tools.sh b/testcases/cli-test/multipath-tools/common_multipath-tools.sh index 20c2883..52dd4ec 100644 --- a/testcases/cli-test/multipath-tools/common_multipath-tools.sh +++ b/testcases/cli-test/multipath-tools/common_multipath-tools.sh @@ -17,10 +17,7 @@ source "$OET_PATH/libs/locallibs/common_lib.sh" function deploy_env() { - share_arg - SSH_CMD "lsblk | grep disk | sed -n 2p | awk '{print \$1}'" ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} | tail -n 1 | sed 's/\r//' >/tmp/disk1 - TEST_DISK1=/dev/$(cat /tmp/disk1) - echo ${TEST_DISK1} + TEST_DISK1=/dev/$(SSH_CMD "lsblk | grep disk | tail -n 1 | awk '{print \$1}'" ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} | tail -n 1 | sed 's/\r//') SSH_CMD "dnf install -y scsi-target-utils; echo -e 'n\np\n1\n\n+2000M\nw' | fdisk ${TEST_DISK1}; echo -e '<target iqn.2013-12.com.make:ws.httpd>\nbacking-store ${TEST_DISK1}\n</target>' >>/etc/tgt/targets.conf; @@ -65,7 +62,6 @@ devices { } function clear_env() { - share_arg SSH_CMD "dnf remove -y scsi-target-utils; echo -e 'd\nw\n' | fdisk ${TEST_DISK1}" ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} iscsiadm -m node --logoutall=all diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh index f4a4f6f..1b324a0 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 @@ -26,7 +26,7 @@ function pre_test() { function run_test() { LOG_INFO "Start to run test." - TEST_DISK2=$(lsblk | grep disk | sed -n 1p | awk '{print $1}') + TEST_DISK2=$(lsblk | grep disk | grep sd | tail -n 1 | awk '{print $1}') kpartx -a -f -v /dev/${TEST_DISK2} | grep " map ${TEST_DISK2}1" CHECK_RESULT $? kpartx -u /dev/${TEST_DISK2} diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh index a52f61d..728899a 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh index c04219f..8fa3fd4 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh index a859f2e..11adad3 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 @@ -26,7 +26,7 @@ function pre_test() { function run_test() { LOG_INFO "Start to run test." - TEST_DISK2=$(lsblk | grep disk | sed -n 1p | awk '{print $1}') + TEST_DISK2=$(lsblk | grep disk | grep sd | tail -n 1 | awk '{print $1}') multipath -v3 | grep ${TEST_DISK2} CHECK_RESULT $? multipath -ll | grep "mpath" -A 10 diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh index c5aa9fd..d068124 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 @@ -26,7 +26,7 @@ function pre_test() { function run_test() { LOG_INFO "Start to run test." - TEST_DISK2=$(lsblk | grep disk | sed -n 1p | awk '{print $1}') + TEST_DISK2=$(lsblk | grep disk | grep sd | tail -n 1 | awk '{print $1}') multipath -t | grep -E "devices|blacklist_exceptions|blacklist|defaults|overrides" CHECK_RESULT $? multipath -r -v3 >rdebug 2>&1 @@ -51,12 +51,12 @@ function run_test() { CHECK_RESULT $? grep "multipath" pdebug CHECK_RESULT $? + multipath -c /dev/${TEST_DISK2} | grep "MULTIPATH" + CHECK_RESULT $? multipath -W /dev/dm-2 | grep "successfully reset wwids" CHECK_RESULT $? multipath -w /dev/dm-2 | grep "removed" CHECK_RESULT $? - multipath -c /dev/${TEST_DISK2} | grep "MULTIPATH" - CHECK_RESULT $? LOG_INFO "End to run test." } diff --git a/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh b/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh index 038d783..4a43d82 100644 --- a/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh +++ b/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh b/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh index 860823a..5e819ca 100644 --- a/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh +++ b/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh b/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh index 35b6fcb..cd16b2c 100644 --- a/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh +++ b/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh index c0d915a..edb6e47 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh index f27fb1c..4fe6f1f 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh index 3f9fd2f..841f426 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh index c53b729..7137f75 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh index 8970838..cf09131 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh index 716d424..3036479 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh index 8eae217..eff3513 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh index 99df454..7329a5d 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh index c34a7e5..9b722d0 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh index 4777782..7008ac4 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh index fc9db0f..4ccc408 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh index 2f1bbc2..3b73ee8 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh index 9923d89..0499ec8 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh index 3312809..4b29152 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh index 7fe7651..9c7fb64 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh index 7aafc23..31c9aba 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh index 4f3c55e..ca6a95a 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh index f2da72a..34b682d 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh index 8535ad1..13d2d8b 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh index 012fbb2..28785f6 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh index 97cfbb8..c863f4b 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh index b8ae61a..3364d23 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh index e43af65..dbc9cc8 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh index d8f7153..b162e80 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh index 6b57ca6..8a0cd5a 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh index cf4509c..01fcfe4 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_01.sh b/testcases/cli-test/swig/oe_test_swig_01.sh index 6e3c919..d68a1cb 100644 --- a/testcases/cli-test/swig/oe_test_swig_01.sh +++ b/testcases/cli-test/swig/oe_test_swig_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_02.sh b/testcases/cli-test/swig/oe_test_swig_02.sh index f917547..9fd2d9c 100644 --- a/testcases/cli-test/swig/oe_test_swig_02.sh +++ b/testcases/cli-test/swig/oe_test_swig_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_03.sh b/testcases/cli-test/swig/oe_test_swig_03.sh index 47cf9a7..92a4231 100644 --- a/testcases/cli-test/swig/oe_test_swig_03.sh +++ b/testcases/cli-test/swig/oe_test_swig_03.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 @@ -53,7 +53,7 @@ function run_test() { CHECK_RESULT $? test -f example.java -a -f exampleJNI.java && rm -rf example.java exampleJNI.java example_wrap.c CHECK_RESULT $? - swig -c++ -cppext json example.i + swig -guile -c++ -cppext json example.i CHECK_RESULT $? test -f example_wrap.json && rm -rf example_wrap.json CHECK_RESULT $? diff --git a/testcases/cli-test/swig/oe_test_swig_04.sh b/testcases/cli-test/swig/oe_test_swig_04.sh index 184340b..2e39556 100644 --- a/testcases/cli-test/swig/oe_test_swig_04.sh +++ b/testcases/cli-test/swig/oe_test_swig_04.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_05.sh b/testcases/cli-test/swig/oe_test_swig_05.sh index 64c099f..b97668a 100644 --- a/testcases/cli-test/swig/oe_test_swig_05.sh +++ b/testcases/cli-test/swig/oe_test_swig_05.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_06.sh b/testcases/cli-test/swig/oe_test_swig_06.sh index a84b30f..c153a54 100644 --- a/testcases/cli-test/swig/oe_test_swig_06.sh +++ b/testcases/cli-test/swig/oe_test_swig_06.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_07.sh b/testcases/cli-test/swig/oe_test_swig_07.sh index 738e467..6a3aa73 100644 --- a/testcases/cli-test/swig/oe_test_swig_07.sh +++ b/testcases/cli-test/swig/oe_test_swig_07.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_08.sh b/testcases/cli-test/swig/oe_test_swig_08.sh index db98185..eb81512 100644 --- a/testcases/cli-test/swig/oe_test_swig_08.sh +++ b/testcases/cli-test/swig/oe_test_swig_08.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_09.sh b/testcases/cli-test/swig/oe_test_swig_09.sh index 89db5ae..8abbf71 100644 --- a/testcases/cli-test/swig/oe_test_swig_09.sh +++ b/testcases/cli-test/swig/oe_test_swig_09.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_10.sh b/testcases/cli-test/swig/oe_test_swig_10.sh index 1ea68a4..66889b9 100644 --- a/testcases/cli-test/swig/oe_test_swig_10.sh +++ b/testcases/cli-test/swig/oe_test_swig_10.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_11.sh b/testcases/cli-test/swig/oe_test_swig_11.sh index b103382..dc6efc5 100644 --- a/testcases/cli-test/swig/oe_test_swig_11.sh +++ b/testcases/cli-test/swig/oe_test_swig_11.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh b/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh index 3438c8b..cafc9f9 100644 --- a/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh +++ b/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh b/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh index 105c935..8db7d86 100644 --- a/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh +++ b/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_page.sh b/testcases/cli-test/tcllib/oe_test_tcllib_page.sh index 14dc958..e6e2ccf 100644 --- a/testcases/cli-test/tcllib/oe_test_tcllib_page.sh +++ b/testcases/cli-test/tcllib/oe_test_tcllib_page.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 -- Gitee From ae8322161511ba3a1f7c45b90455f127c671d785 Mon Sep 17 00:00:00 2001 From: liujingjing <liujingjing25812@163.com> Date: Tue, 30 Mar 2021 10:04:38 +0800 Subject: [PATCH 4/7] update --- testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh | 2 +- testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh | 2 +- testcases/cli-test/multipath-tools/common_multipath-tools.sh | 4 ++-- .../multipath-tools/oe_test_multipath-tools_kpartx.sh | 2 +- .../multipath-tools/oe_test_multipath-tools_mpathconf.sh | 2 +- .../multipath-tools/oe_test_multipath-tools_mpathpersist.sh | 2 +- .../multipath-tools/oe_test_multipath-tools_multipath_01.sh | 2 +- .../multipath-tools/oe_test_multipath-tools_multipath_02.sh | 2 +- testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh | 2 +- testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh | 2 +- testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh | 2 +- testcases/cli-test/ndisc6/common_ndisc6.sh | 4 ++-- testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh | 2 +- testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh | 2 +- testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh | 2 +- testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh | 2 +- testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh | 2 +- testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh | 2 +- testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh | 2 +- .../oe_test_qt5-qttools_lconvert-qt5.sh | 2 +- .../oe_test_qt5-qttools_lrelease-qt5.sh | 2 +- .../oe_test_qt5-qttools_lupdate-qt5_01.sh | 2 +- .../oe_test_qt5-qttools_lupdate-qt5_02.sh | 2 +- .../oe_test_qt5-qttools_qdbus-qt5.sh | 2 +- .../oe_test_qt5-qttools_qdoc_01.sh | 2 +- .../oe_test_qt5-qttools_qdoc_02.sh | 2 +- .../oe_test_qt5-qttools_qdoc_03.sh | 2 +- .../oe_test_qt5-qttools_qhelpgenerator-qt5.sh | 2 +- .../oe_test_qt5-qttools_qt5-qttools-devel.sh | 2 +- .../oe_test_qt5-qttools_qtattributionsscanner-qt5.sh | 2 +- .../oe_test_qt5-qttools_qtpaths.sh | 2 +- testcases/cli-test/swig/oe_test_swig_01.sh | 2 +- testcases/cli-test/swig/oe_test_swig_02.sh | 2 +- testcases/cli-test/swig/oe_test_swig_03.sh | 2 +- testcases/cli-test/swig/oe_test_swig_04.sh | 2 +- testcases/cli-test/swig/oe_test_swig_05.sh | 2 +- testcases/cli-test/swig/oe_test_swig_06.sh | 2 +- testcases/cli-test/swig/oe_test_swig_07.sh | 2 +- testcases/cli-test/swig/oe_test_swig_08.sh | 2 +- testcases/cli-test/swig/oe_test_swig_09.sh | 2 +- testcases/cli-test/swig/oe_test_swig_10.sh | 2 +- testcases/cli-test/swig/oe_test_swig_11.sh | 2 +- testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh | 2 +- testcases/cli-test/tcllib/oe_test_tcllib_nns.sh | 2 +- testcases/cli-test/tcllib/oe_test_tcllib_page.sh | 2 +- 52 files changed, 54 insertions(+), 54 deletions(-) diff --git a/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh b/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh index b369160..3ce2f7f 100644 --- a/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh +++ b/testcases/cli-test/libwbxml/oe_test_libwbxml_wbxml2xml.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh b/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh index 92e10dc..1505828 100644 --- a/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh +++ b/testcases/cli-test/libwbxml/oe_test_libwbxml_xml2wbxml.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/multipath-tools/common_multipath-tools.sh b/testcases/cli-test/multipath-tools/common_multipath-tools.sh index 52dd4ec..9303b21 100644 --- a/testcases/cli-test/multipath-tools/common_multipath-tools.sh +++ b/testcases/cli-test/multipath-tools/common_multipath-tools.sh @@ -1,5 +1,5 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 @@ -10,7 +10,7 @@ #################################### # @Author : liujingjing # @Contact : liujingjing25812@163.com -# @Date : 2021/01/11 +# @Date : 2020/10/19 # @License : Mulan PSL v2 # @Desc : Public class integration ##################################### diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh index 1b324a0..66df3ca 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh index 728899a..a52f61d 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathconf.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh index 8fa3fd4..c04219f 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh index 11adad3..13551cc 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh index d068124..e23d124 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh b/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh index 4a43d82..038d783 100644 --- a/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh +++ b/testcases/cli-test/nbdkit/oe_test_nbdkit_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh b/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh index 5e819ca..860823a 100644 --- a/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh +++ b/testcases/cli-test/nbdkit/oe_test_nbdkit_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh b/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh index cd16b2c..35b6fcb 100644 --- a/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh +++ b/testcases/cli-test/nbdkit/oe_test_nbdkit_03.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/common_ndisc6.sh b/testcases/cli-test/ndisc6/common_ndisc6.sh index 963944c..263a432 100644 --- a/testcases/cli-test/ndisc6/common_ndisc6.sh +++ b/testcases/cli-test/ndisc6/common_ndisc6.sh @@ -1,5 +1,5 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 @@ -10,7 +10,7 @@ #################################### # @Author : liujingjing # @Contact : liujingjing25812@163.com -# @Date : 2021/01/11 +# @Date : 2020/10/12 # @License : Mulan PSL v2 # @Desc : Public class integration ##################################### diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh index edb6e47..c0d915a 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_addr2name.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh index 4fe6f1f..f27fb1c 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_name2addr.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh index 841f426..3f9fd2f 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_ndisc6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh index 7137f75..c53b729 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdisc6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh index cf09131..8970838 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_rdnssd.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh index 3036479..716d424 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_rltraceroute6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh index eff3513..8eae217 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcpspray.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh index 7329a5d..99df454 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_tcptraceroute6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh b/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh index 9b722d0..c34a7e5 100644 --- a/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh +++ b/testcases/cli-test/ndisc6/oe_test_ndisc6_tracert6.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh index 7008ac4..4777782 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh index 4ccc408..fc9db0f 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh index 3b73ee8..2f1bbc2 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh index 0499ec8..9923d89 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh index 4b29152..3312809 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh index 9c7fb64..7fe7651 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh index 31c9aba..7aafc23 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lrelease-qt5/oe_test_qt5-qttools_lrelease-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh index ca6a95a..4f3c55e 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh index 34b682d..f2da72a 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_02/oe_test_qt5-qttools_lupdate-qt5_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh index 13d2d8b..8535ad1 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdbus-qt5/oe_test_qt5-qttools_qdbus-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh index 28785f6..012fbb2 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh index c863f4b..97cfbb8 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh index 3364d23..b8ae61a 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh index dbc9cc8..e43af65 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh index b162e80..d8f7153 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh index 8a0cd5a..6b57ca6 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtattributionsscanner-qt5/oe_test_qt5-qttools_qtattributionsscanner-qt5.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh index 01fcfe4..cf4509c 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qtpaths/oe_test_qt5-qttools_qtpaths.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_01.sh b/testcases/cli-test/swig/oe_test_swig_01.sh index d68a1cb..6e3c919 100644 --- a/testcases/cli-test/swig/oe_test_swig_01.sh +++ b/testcases/cli-test/swig/oe_test_swig_01.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_02.sh b/testcases/cli-test/swig/oe_test_swig_02.sh index 9fd2d9c..f917547 100644 --- a/testcases/cli-test/swig/oe_test_swig_02.sh +++ b/testcases/cli-test/swig/oe_test_swig_02.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_03.sh b/testcases/cli-test/swig/oe_test_swig_03.sh index 92a4231..2c2ebcc 100644 --- a/testcases/cli-test/swig/oe_test_swig_03.sh +++ b/testcases/cli-test/swig/oe_test_swig_03.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_04.sh b/testcases/cli-test/swig/oe_test_swig_04.sh index 2e39556..184340b 100644 --- a/testcases/cli-test/swig/oe_test_swig_04.sh +++ b/testcases/cli-test/swig/oe_test_swig_04.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_05.sh b/testcases/cli-test/swig/oe_test_swig_05.sh index b97668a..64c099f 100644 --- a/testcases/cli-test/swig/oe_test_swig_05.sh +++ b/testcases/cli-test/swig/oe_test_swig_05.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_06.sh b/testcases/cli-test/swig/oe_test_swig_06.sh index c153a54..a84b30f 100644 --- a/testcases/cli-test/swig/oe_test_swig_06.sh +++ b/testcases/cli-test/swig/oe_test_swig_06.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_07.sh b/testcases/cli-test/swig/oe_test_swig_07.sh index 6a3aa73..738e467 100644 --- a/testcases/cli-test/swig/oe_test_swig_07.sh +++ b/testcases/cli-test/swig/oe_test_swig_07.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_08.sh b/testcases/cli-test/swig/oe_test_swig_08.sh index eb81512..db98185 100644 --- a/testcases/cli-test/swig/oe_test_swig_08.sh +++ b/testcases/cli-test/swig/oe_test_swig_08.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_09.sh b/testcases/cli-test/swig/oe_test_swig_09.sh index 8abbf71..89db5ae 100644 --- a/testcases/cli-test/swig/oe_test_swig_09.sh +++ b/testcases/cli-test/swig/oe_test_swig_09.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_10.sh b/testcases/cli-test/swig/oe_test_swig_10.sh index 66889b9..1ea68a4 100644 --- a/testcases/cli-test/swig/oe_test_swig_10.sh +++ b/testcases/cli-test/swig/oe_test_swig_10.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/swig/oe_test_swig_11.sh b/testcases/cli-test/swig/oe_test_swig_11.sh index dc6efc5..b103382 100644 --- a/testcases/cli-test/swig/oe_test_swig_11.sh +++ b/testcases/cli-test/swig/oe_test_swig_11.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh b/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh index cafc9f9..3438c8b 100644 --- a/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh +++ b/testcases/cli-test/tcllib/oe_test_tcllib_dtplite.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh b/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh index 8db7d86..105c935 100644 --- a/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh +++ b/testcases/cli-test/tcllib/oe_test_tcllib_nns.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_page.sh b/testcases/cli-test/tcllib/oe_test_tcllib_page.sh index e6e2ccf..14dc958 100644 --- a/testcases/cli-test/tcllib/oe_test_tcllib_page.sh +++ b/testcases/cli-test/tcllib/oe_test_tcllib_page.sh @@ -1,6 +1,6 @@ #!/usr/bin/bash -# Copyright (c) 2021 Huawei Technologies Co.,Ltd.ALL rights reserved. +# Copyright (c) 2020 Huawei Technologies Co.,Ltd.ALL rights reserved. # This program is licensed under Mulan PSL v2. # You can use it according to the terms and conditions of the Mulan PSL v2. # http://license.coscl.org.cn/MulanPSL2 -- Gitee From 055d0cb1691a3bb46ddf8a3b61bfea83586144f7 Mon Sep 17 00:00:00 2001 From: liujingjing <liujingjing25812@163.com> Date: Tue, 6 Apr 2021 10:03:27 +0800 Subject: [PATCH 5/7] update pcp --- testcases/cli-test/pcp/ganglia/bytes_in.rrd | Bin 630760 -> 0 bytes testcases/cli-test/pcp/ganglia/bytes_out.rrd | Bin 630760 -> 0 bytes .../pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh | 40 ++++++++++++++++-- 3 files changed, 37 insertions(+), 3 deletions(-) delete mode 100644 testcases/cli-test/pcp/ganglia/bytes_in.rrd delete mode 100644 testcases/cli-test/pcp/ganglia/bytes_out.rrd diff --git a/testcases/cli-test/pcp/ganglia/bytes_in.rrd b/testcases/cli-test/pcp/ganglia/bytes_in.rrd deleted file mode 100644 index 618e57d4d8ebf79ca548d85175544f113e7169b8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 630760 zcmeI(ZD>|i902fVIgx}+W0WbP2-!;1EpgZf-MOd?Kjh0`Bj{;JsNcfq1z(`Va#Dzn zp+)OMB7$!p9D$Gsr4$&!6rF*H65EI2%ZO@E+oSlh_IP(6HeYCusUZIDm;ZClz4x4R z&;6g@eZHLP>iMa%va*?}{$s{VM}L_&b>dq^{pDf(@!8~p2OK~6=-oS7tcq%?7Ti|5 zW>ERc`nZ_HK_`b)h-w#9M|bp+O0_*7-<~{wTxzQvQlmq-=wAIET@>G3JgAL_-*4OT zxWm$i)NiI~{IY>fmzTd*KE0xBVAWlJ&&(a){~Ydmvf#@5&y$t8V^d>(9C3MPzFg=~ zlJ=4~WASfCioW>szT0bUOyBI7kPY>nOAr12@%ixGh<HSEpNnJ5zJVi5=0S{S#XOjY z+ziMAc_0t00}gP2103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3GDBOYebtMO?+Pf+rR4nOUwQ>L3e}z}m z4L{X4kBX8Q?Rn<I+HJ+rD}D9dKkN=kTzhFs`RrwvZ|yU#yU<&3JT>{;)lY_}qTIgc zib?m5x#xR%Nm2AoQ&ZEJvBUE5%&zz5?9cRu<1H;M|9ssOR{ywTT=VAc&{LXjIF`-) zXMEx8iEQ)2Ojy|0!Pfa*;r%%y&+PiPGrw;-*+0E#{KbP~wx0~S$KQK!Pd;{>oP2KW z;hiDbxBY)32RX>W!X313tQ+gby5Rr^IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N@s?=|KCsk^@!kU6n~({^^KJ#i~r0a^%gf71ytZ({H>pdh6@gLVf4b zLv<H=!-g;CZT|elR5Y=#V$#K;sJM32wBf~9LQiSB;aD~kZtVNJ^|QHugxZ>#nl0;p z4PjQ@;isSaDy+;Mn;L^Wc|7yPd-L9W=Y8inzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3Gc$5ybHl}ZOOvna#C+{9* z-pM!lCg1$u;Q$9XzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W kzyS_$fCC)h00%h00S<70103K02ROh14sd`2Po4w+0;h9?Gynhq diff --git a/testcases/cli-test/pcp/ganglia/bytes_out.rrd b/testcases/cli-test/pcp/ganglia/bytes_out.rrd deleted file mode 100644 index b3bb0529f3ebff143ef1dbf4ef42a8b04d49c82a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 630760 zcmeI*ZD>_x902guR)!(&!xW*MA}ox7PDO@<?pag!Aq*TO!|BxYgQ8MH3fa_saSAFf z2wPf2HOv>raNC6qd>2i>6llZ{U)lm4F#;iyA_DK-*|}`K;AR>szx(0-@Bf_VJkN6; z{^xh@x2LRZZbm^t!HkUl&(yqA=S%V@RJ_oiXZFX@af8PkH+;1Io*qk!-z%MW*U^oG z^1o`MG>(H#4k;;KG_S1qo>4L~y2eJA$M+v)T}4CcbO?<eH14T)ql>c!_3`A#?fM}a zaPkux_m91=Eg#tR%!0xx(`OAla{u3-ocVL|mp`|J`=1@|(MPY7s@Wqu8xytZc|>`A zIv?&#;{M{;x$(C*PQQ5lk^5Vlf4gD9_@>aFTi3fVry<-L70oD2i{h1<fisNPL5x<# zI#`F)3aA5hpbk6-9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKYAD#etff z=F;x!twoP3zI5UE&9_&67P_l*nn(PbNXK1yC(Ea2w)f@RM;}ecNmu^fa`<X{NbWAz zQJLK~?3lRl@rh;cw}#aGS1fILU`);D{L4|h?yR=HcB%ME)9Z^@eQ+e&-!Au1NNsc1 zvEpD~esTVRhsICGWi8r$?7Og}KDnXb@B2gYdrAGdZ_@ka#rx*%|KjY#TW_|6S34U& z+&uL}IxbCKx4Ne_#QXLA*Z92DrTg%n^`7;f<p2jbzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC(O)*PtGX)f)q-dYsLALne^c5TnT zuru#u`K9e0VO`HZhpI{vp{{pfM`d<f*ipRv#@Ao9gfXehDq6$A@82n0v*vJU&#mjd zIR8K>*}1N#V#~K-@v66esr@MteyvUpaQX94HG5=dW1==ZYwKgasTJ^Cs{?i5Ip6>X zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02RQIF zJFqtYcEf`4O+lTi)2F#k)u;MYpZ-sAfCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8 zaDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0W zzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h z00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 g9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h!2j*Q9qVUvt^fc4 diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh index fc9db0f..96b96ef 100644 --- a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh +++ b/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh @@ -21,13 +21,39 @@ source "$OET_PATH/libs/locallibs/common_lib.sh" function pre_test() { LOG_INFO "Start to prepare the test environment." - DNF_INSTALL pcp-import-ganglia2pcp + DNF_INSTALL "pcp-import-ganglia2pcp httpd" + cp /etc/yum.repos.d/openEuler.repo /etc/yum.repos.d/openEuler.repo-bak + if [ "${NODE1_FRAME}" == "x86_64" ]; then + echo -e "\n[fedora]\nname=fedora\nbaseurl=https://archives.fedoraproject.org/pub/archive/fedora/linux/releases/29/Everything/x86_64/os/\nenabled=1\ngpgcheck=0 " >>/etc/yum.repos.d/openEuler.repo + else + echo -e "\n[fedora]\nname=fedora\nbaseurl=https://archives.fedoraproject.org/pub/archive/fedora/linux/releases/29/Everything/aarch64/os/\nenabled=1\ngpgcheck=0 " >>/etc/yum.repos.d/openEuler.repo + fi + DNF_INSTALL "ganglia ganglia-gmetad ganglia-gmond ganglia-web rrdtool" + setenforce 0 + systemctl stop firewalld + sed -i "s/data_source \"my cluster\" localhost/data_source \"cluster01\" ${NODE1_IPV4}/g" /etc/ganglia/gmetad.conf + service gmetad restart + service gmond restart + service httpd restart + set timeout 120 + SSH_CMD "cp /etc/yum.repos.d/openEuler.repo /etc/yum.repos.d/openEuler.repo-bak; + if \[ '$NODE1_FRAME' == 'x86_64' \]; then + echo -e '\n\[fedora\]\nname=fedora\nbaseurl=https://archives.fedoraproject.org/pub/archive/fedora/linux/releases/29/Everything/x86_64/os/\nenabled=1\ngpgcheck=0 ' >>/etc/yum.repos.d/openEuler.repo + else + echo -e '\n\[fedora\]\nname=fedora\nbaseurl=https://archives.fedoraproject.org/pub/archive/fedora/linux/releases/29/Everything/aarch64/os/\nenabled=1\ngpgcheck=0 ' >>/etc/yum.repos.d/openEuler.repo + fi; + dnf install -y ganglia-gmond; + systemctl stop firewalld; + setenforce 0; + sed -i '/ name / s/unspecified/cluster01/' /etc/ganglia/gmond.conf + service gmond restart;" ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} + SLEEP_WAIT 120 LOG_INFO "End to prepare the test environment." } function run_test() { LOG_INFO "Start to run test." - ganglia2pcp -f gangpcp -d ./ -Z UTC -h localhost ./ganglia/ + ganglia2pcp -f gangpcp -d ./ -Z UTC -h localhost /var/lib/ganglia/rrds/unspecified/${NODE2_IPV4}/ CHECK_RESULT $? grep -aE "localhost|UTC" gangpcp.index CHECK_RESULT $? @@ -38,7 +64,15 @@ function run_test() { function post_test() { LOG_INFO "Start to restore the test environment." - DNF_REMOVE pcp-import-ganglia2pcp ganglia + DNF_REMOVE "pcp-import-ganglia2pcp httpd ganglia ganglia-gmetad ganglia-gmond ganglia-web" + rm -rf /etc/yum.repos.d/openEuler.repo + cp /etc/yum.repos.d/openEuler.repo-bak /etc/yum.repos.d/openEuler.repo + rm -rf /etc/yum.repos.d/openEuler.repo-bak + SSH_CMD "dnf remove -y ganglia-gmond; + rm -rf /etc/yum.repos.d/openEuler.repo + cp /etc/yum.repos.d/openEuler.repo-bak /etc/yum.repos.d/openEuler.repo; + rm -rf /etc/yum.repos.d/openEuler.repo-bak; + " ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} LOG_INFO "End to restore the test environment." } -- Gitee From 2f8647e5fefe6f9f92ff75fed167cc3451bf94f4 Mon Sep 17 00:00:00 2001 From: liujingjing <liujingjing25812@163.com> Date: Tue, 6 Apr 2021 10:12:17 +0800 Subject: [PATCH 6/7] update pcp --- suite2cases/{pcp => pcp-liujingjing} | 0 .../oe_test_pcp_pcp-import-collectl2pcp.sh | 0 .../oe_test_pcp_pcp-import-ganglia2pcp.sh | 0 .../{pcp => pcp-liujingjing}/oe_test_pcp_pcp-import-iostat2pcp.sh | 0 .../{pcp => pcp-liujingjing}/oe_test_pcp_pcp-import-mrtg2pcp.sh | 0 .../{pcp => pcp-liujingjing}/oe_test_pcp_pcp-import-sar2pcp.sh | 0 6 files changed, 0 insertions(+), 0 deletions(-) rename suite2cases/{pcp => pcp-liujingjing} (100%) rename testcases/cli-test/{pcp => pcp-liujingjing}/oe_test_pcp_pcp-import-collectl2pcp.sh (100%) rename testcases/cli-test/{pcp => pcp-liujingjing}/oe_test_pcp_pcp-import-ganglia2pcp.sh (100%) rename testcases/cli-test/{pcp => pcp-liujingjing}/oe_test_pcp_pcp-import-iostat2pcp.sh (100%) rename testcases/cli-test/{pcp => pcp-liujingjing}/oe_test_pcp_pcp-import-mrtg2pcp.sh (100%) rename testcases/cli-test/{pcp => pcp-liujingjing}/oe_test_pcp_pcp-import-sar2pcp.sh (100%) diff --git a/suite2cases/pcp b/suite2cases/pcp-liujingjing similarity index 100% rename from suite2cases/pcp rename to suite2cases/pcp-liujingjing diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-collectl2pcp.sh similarity index 100% rename from testcases/cli-test/pcp/oe_test_pcp_pcp-import-collectl2pcp.sh rename to testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-collectl2pcp.sh diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-ganglia2pcp.sh similarity index 100% rename from testcases/cli-test/pcp/oe_test_pcp_pcp-import-ganglia2pcp.sh rename to testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-ganglia2pcp.sh diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-iostat2pcp.sh similarity index 100% rename from testcases/cli-test/pcp/oe_test_pcp_pcp-import-iostat2pcp.sh rename to testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-iostat2pcp.sh diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-mrtg2pcp.sh similarity index 100% rename from testcases/cli-test/pcp/oe_test_pcp_pcp-import-mrtg2pcp.sh rename to testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-mrtg2pcp.sh diff --git a/testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-sar2pcp.sh similarity index 100% rename from testcases/cli-test/pcp/oe_test_pcp_pcp-import-sar2pcp.sh rename to testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-sar2pcp.sh -- Gitee From 1e55242a2433f2d031983488130f077bab6919a7 Mon Sep 17 00:00:00 2001 From: liujingjing <liujingjing25812@163.com> Date: Mon, 12 Apr 2021 19:38:54 +0800 Subject: [PATCH 7/7] update cli-test --- .../multipath-tools/common_multipath-tools.sh | 13 ++++++++++ .../oe_test_multipath-tools_kpartx.sh | 25 ++++++++++--------- .../oe_test_multipath-tools_mpathpersist.sh | 14 +++++------ .../oe_test_multipath-tools_multipath_01.sh | 5 ++-- .../oe_test_multipath-tools_multipath_02.sh | 5 ++-- testcases/cli-test/ndisc6/echo.c | 2 -- .../oe_test_pcp_pcp-import-iostat2pcp.sh | 2 +- .../oe_test_pcp_pcp-import-mrtg2pcp.sh | 3 ++- .../oe_test_pcp_pcp-import-sar2pcp.sh | 3 ++- .../assistant.qhp | 0 .../oe_test_qt5-qttools_lconvert-qt5.sh | 3 ++- .../oe_test_qt5-qttools_lupdate-qt5_01.sh | 4 +-- .../oe_test_qt5-qttools_qdoc_01.sh | 3 ++- .../oe_test_qt5-qttools_qdoc_02.sh | 3 ++- .../oe_test_qt5-qttools_qdoc_03.sh | 3 ++- .../oe_test_qt5-qttools_qhelpgenerator-qt5.sh | 3 ++- .../oe_test_qt5-qttools_qt5-qttools-devel.sh | 5 ++-- .../cli-test/tcllib/oe_test_tcllib_page.sh | 2 +- 18 files changed, 60 insertions(+), 38 deletions(-) rename testcases/cli-test/qt5-qttools/{oe_test_qt5-qttools_qhelpgenerator-qt5 => }/assistant.qhp (100%) diff --git a/testcases/cli-test/multipath-tools/common_multipath-tools.sh b/testcases/cli-test/multipath-tools/common_multipath-tools.sh index 9303b21..4115be5 100644 --- a/testcases/cli-test/multipath-tools/common_multipath-tools.sh +++ b/testcases/cli-test/multipath-tools/common_multipath-tools.sh @@ -16,6 +16,7 @@ ##################################### source "$OET_PATH/libs/locallibs/common_lib.sh" + function deploy_env() { TEST_DISK1=/dev/$(SSH_CMD "lsblk | grep disk | tail -n 1 | awk '{print \$1}'" ${NODE2_IPV4} ${NODE2_PASSWORD} ${NODE2_USER} | tail -n 1 | sed 's/\r//') SSH_CMD "dnf install -y scsi-target-utils; @@ -56,6 +57,7 @@ devices { product_blacklist LUNZ } }" >/etc/multipath.conf + lsmod | grep dm_multipath || modprobe dm_multipath modprobe dm_multipath service multipathd restart chkconfig --level 345 multipathd on @@ -70,3 +72,14 @@ function clear_env() { del_file=$(ls | grep -vE ".sh") rm -rf ${del_file} /tmp/disk1 } + +function check_free_disk() { + disk_list=($(lsblk | awk '{print$1" "$6}' | grep disk | grep sd | awk '{print$1}')) + for disk in ${disk_list[@]}; do + lsblk | awk '{print$1" "$6" "$7}' | grep / | awk '{print$1" "$2}' | grep ${disk} + if [ $? -eq 0 ]; then + disk_list=(${disk_list[@]/${disk}}) + continue + fi + done +} diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh index 66df3ca..54bba13 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_kpartx.sh @@ -21,33 +21,34 @@ source "common_multipath-tools.sh" function pre_test() { LOG_INFO "Start to prepare the test environment." deploy_env + check_free_disk + local_disk=${disk_list[-1]} LOG_INFO "End to prepare the test environment." } function run_test() { LOG_INFO "Start to run test." - TEST_DISK2=$(lsblk | grep disk | grep sd | tail -n 1 | awk '{print $1}') - kpartx -a -f -v /dev/${TEST_DISK2} | grep " map ${TEST_DISK2}1" + kpartx -a -f -v /dev/${local_disk} | grep " map ${local_disk}1" CHECK_RESULT $? - kpartx -u /dev/${TEST_DISK2} + kpartx -u /dev/${local_disk} CHECK_RESULT $? - lsblk | grep ${TEST_DISK2}1 + lsblk | grep ${local_disk}1 CHECK_RESULT $? - kpartx -l /dev/${TEST_DISK2} | grep "${TEST_DISK2}1" + kpartx -l /dev/${local_disk} | grep "${local_disk}1" CHECK_RESULT $? - kpartx -n /dev/${TEST_DISK2} | grep "${TEST_DISK2}1" + kpartx -n /dev/${local_disk} | grep "${local_disk}1" CHECK_RESULT $? - kpartx -s /dev/${TEST_DISK2} | grep "/dev/${TEST_DISK2}" + kpartx -s /dev/${local_disk} | grep "/dev/${local_disk}" CHECK_RESULT $? - kpartx -g /dev/${TEST_DISK2} | grep "${TEST_DISK2}" + kpartx -g /dev/${local_disk} | grep "${local_disk}" CHECK_RESULT $? - kpartx -p p /dev/${TEST_DISK2} | grep "${TEST_DISK2}p" + kpartx -p p /dev/${local_disk} | grep "${local_disk}p" CHECK_RESULT $? - kpartx -r /dev/${TEST_DISK2} | grep "${TEST_DISK2}" + kpartx -r /dev/${local_disk} | grep "${local_disk}" CHECK_RESULT $? - kpartx -d /dev/${TEST_DISK2} + kpartx -d /dev/${local_disk} CHECK_RESULT $? - ls -l /dev/mapper/ | grep "${TEST_DISK2}1 \-> " + ls -l /dev/mapper/ | grep "${local_disk}1 \-> " CHECK_RESULT $? 1 LOG_INFO "End to run test." } diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh index c04219f..4befd79 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_mpathpersist.sh @@ -26,23 +26,23 @@ function pre_test() { function run_test() { LOG_INFO "Start to run test." - mpathpersist --out --register --param-sark=123abc /dev/mapper/mpatha + mpathpersist --out --register --param-sark=12cdbe /dev/mapper/mpatha CHECK_RESULT $? - mpathpersist -v0 -i -k /dev/mapper/mpatha | grep "123abc" + mpathpersist -v0 -i -k /dev/mapper/mpatha | grep "0x12cdbe" CHECK_RESULT $? - mpathpersist -i -k -H /dev/mapper/mpatha | grep -2 "123abc" + mpathpersist -i -k -H /dev/mapper/mpatha | grep -2 "12cdbe" CHECK_RESULT $? - mpathpersist --out --reserve --param-rk=123abc --prout-type=8 -d /dev/mapper/mpatha + mpathpersist --out --reserve --param-rk=12cdbe --prout-type=8 -d /dev/mapper/mpatha CHECK_RESULT $? mpathpersist -i -r /dev/mapper/mpatha | grep -1 "Key" CHECK_RESULT $? mpathpersist -i -c /dev/mapper/mpatha | grep -A 20 "Report" CHECK_RESULT $? - mpathpersist --out --release --param-rk=123abc --prout-type=8 -d /dev/mapper/mpatha + mpathpersist --out --release --param-rk=12cdbe --prout-type=8 -d /dev/mapper/mpatha CHECK_RESULT $? - mpathpersist --out --register-ignore -K 123abc -S 0 /dev/mapper/mpatha + mpathpersist --out --register-ignore -K 12cdbe -S 0 /dev/mapper/mpatha CHECK_RESULT $? - mpathpersist -v0 -i -k /dev/mapper/mpatha | grep "123abc" + mpathpersist -v0 -i -k /dev/mapper/mpatha | grep "0x12cdbe" CHECK_RESULT $? 0 1 LOG_INFO "End to run test." } diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh index 13551cc..3ac9a78 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_01.sh @@ -21,13 +21,14 @@ source "common_multipath-tools.sh" function pre_test() { LOG_INFO "Start to prepare the test environment." deploy_env + check_free_disk + local_disk=${disk_list[-1]} LOG_INFO "End to prepare the test environment." } function run_test() { LOG_INFO "Start to run test." - TEST_DISK2=$(lsblk | grep disk | grep sd | tail -n 1 | awk '{print $1}') - multipath -v3 | grep ${TEST_DISK2} + multipath -v3 | grep ${local_disk} CHECK_RESULT $? multipath -ll | grep "mpath" -A 10 CHECK_RESULT $? diff --git a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh index e23d124..25b5f9e 100644 --- a/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh +++ b/testcases/cli-test/multipath-tools/oe_test_multipath-tools_multipath_02.sh @@ -21,12 +21,13 @@ source "common_multipath-tools.sh" function pre_test() { LOG_INFO "Start to prepare the test environment." deploy_env + check_free_disk + local_disk=${disk_list[-1]} LOG_INFO "End to prepare the test environment." } function run_test() { LOG_INFO "Start to run test." - TEST_DISK2=$(lsblk | grep disk | grep sd | tail -n 1 | awk '{print $1}') multipath -t | grep -E "devices|blacklist_exceptions|blacklist|defaults|overrides" CHECK_RESULT $? multipath -r -v3 >rdebug 2>&1 @@ -51,7 +52,7 @@ function run_test() { CHECK_RESULT $? grep "multipath" pdebug CHECK_RESULT $? - multipath -c /dev/${TEST_DISK2} | grep "MULTIPATH" + multipath -c /dev/${local_disk} | grep "MULTIPATH" CHECK_RESULT $? multipath -W /dev/dm-2 | grep "successfully reset wwids" CHECK_RESULT $? diff --git a/testcases/cli-test/ndisc6/echo.c b/testcases/cli-test/ndisc6/echo.c index 19b6469..c8a81e4 100644 --- a/testcases/cli-test/ndisc6/echo.c +++ b/testcases/cli-test/ndisc6/echo.c @@ -53,8 +53,6 @@ int main() break; } - - //memset(buf,0,sizeof(buf)); len = send(conn_fd,buf,strlen(buf),0); if(len > 0) printf("send: %s , %d Byte\n",buf,strlen(buf)); diff --git a/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-iostat2pcp.sh b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-iostat2pcp.sh index 2f1bbc2..387ea51 100644 --- a/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-iostat2pcp.sh +++ b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-iostat2pcp.sh @@ -28,7 +28,7 @@ function pre_test() { function run_test() { LOG_INFO "Start to run test." - iostat -x sda sdb 2 6 >inputfile + iostat -c 2 6 >inputfile CHECK_RESULT $? test -f inputfile CHECK_RESULT $? diff --git a/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-mrtg2pcp.sh b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-mrtg2pcp.sh index 9923d89..85c9224 100644 --- a/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-mrtg2pcp.sh +++ b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-mrtg2pcp.sh @@ -22,12 +22,13 @@ source "$OET_PATH/libs/locallibs/common_lib.sh" function pre_test() { LOG_INFO "Start to prepare the test environment." DNF_INSTALL "pcp-import-mrtg2pcp mrtg" + disk_list=($(lsblk | awk '{print$1" "$6}' | grep disk | awk '{print$1}')) LOG_INFO "End to prepare the test environment." } function run_test() { LOG_INFO "Start to run test." - mrtg2pcp localhost /dev/vda UTF-8 /var/www/mrtg/mrtg-m.png mrtgpcp + mrtg2pcp localhost ${disk_list[0]} UTF-8 /var/www/mrtg/mrtg-m.png mrtgpcp CHECK_RESULT $? grep -aE "localhost|UTF-8" mrtgpcp.index CHECK_RESULT $? diff --git a/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-sar2pcp.sh b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-sar2pcp.sh index 3312809..68252fc 100644 --- a/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-sar2pcp.sh +++ b/testcases/cli-test/pcp-liujingjing/oe_test_pcp_pcp-import-sar2pcp.sh @@ -27,7 +27,8 @@ function pre_test() { function run_test() { LOG_INFO "Start to run test." - /usr/lib64/sa/sadc 1 10 datafile + sadc=$(rpm -ql sysstat | grep "/sa/sadc") + $sadc 1 10 datafile CHECK_RESULT $? test -f datafile CHECK_RESULT $? diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/assistant.qhp b/testcases/cli-test/qt5-qttools/assistant.qhp similarity index 100% rename from testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/assistant.qhp rename to testcases/cli-test/qt5-qttools/assistant.qhp diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh index 7fe7651..18184bd 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lconvert-qt5/oe_test_qt5-qttools_lconvert-qt5.sh @@ -23,7 +23,8 @@ function pre_test() { LOG_INFO "Start to prepare the test environment." DNF_INSTALL "qt5-qttools qt5-linguist qt5-qtbase-devel" qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') - cp /usr/share/qt5/phrasebooks/swedish.qph ./ + swedish=$(rpm -ql qt5-linguist | grep "swedish.qph") + cp $swedish ./ LOG_INFO "End to prepare the test environment." } diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh index 4f3c55e..69d68c9 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_lupdate-qt5_01/oe_test_qt5-qttools_lupdate-qt5_01.sh @@ -48,11 +48,11 @@ function run_test() { cp ../hello.cpp dir/dir/ lupdate-qt5 -no-recursive dir -ts hello.ts | grep "0 new and 0 already" CHECK_RESULT $? - grep "name" hello.ts + grep -E "context|Widget|click" hello.ts CHECK_RESULT $? 1 lupdate-qt5 -recursive dir -ts hello.ts | grep "3 new and 0 already" CHECK_RESULT $? - grep "name" hello.ts + grep -E "context|Widget|click" hello.ts CHECK_RESULT $? lupdate-qt5 -I../ ../ -ts hello.ts | grep "Scanning directory" CHECK_RESULT $? diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh index 012fbb2..97c246f 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_01/oe_test_qt5-qttools_qdoc_01.sh @@ -23,7 +23,8 @@ function pre_test() { LOG_INFO "Start to prepare the test environment." DNF_INSTALL "qt5-qttools qt5-doctools" qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') - cp -r /usr/share/doc/qt5/global/ ../example* ../hello* ./ + qt5_global=$(rpm -ql qt5-qtbase | grep "global" | head -n 1) + cp -r $qt5_global ../example* ../hello* ./ LOG_INFO "End to prepare the test environment." } diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh index 97cfbb8..8f0706a 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_02/oe_test_qt5-qttools_qdoc_02.sh @@ -23,7 +23,8 @@ function pre_test() { LOG_INFO "Start to prepare the test environment." DNF_INSTALL "qt5-qttools qt5-doctools" qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') - cp -r /usr/share/doc/qt5/global/ ../example* ../hello* ./ + qt5_global=$(rpm -ql qt5-qtbase | grep "global" | head -n 1) + cp -r $qt5_global ../example* ../hello* ./ LOG_INFO "End to prepare the test environment." } diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh index b8ae61a..5ae02a2 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qdoc_03/oe_test_qt5-qttools_qdoc_03.sh @@ -22,7 +22,8 @@ source "$OET_PATH/libs/locallibs/common_lib.sh" function pre_test() { LOG_INFO "Start to prepare the test environment." DNF_INSTALL "qt5-qttools qt5-doctools" - cp -r /usr/share/doc/qt5/global/ ../example* ../hello* ./ + qt5_global=$(rpm -ql qt5-qtbase | grep "global" | head -n 1) + cp -r $qt5_global ../example* ../hello* ./ LOG_INFO "End to prepare the test environment." } diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh index e43af65..ba73d1b 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qhelpgenerator-qt5/oe_test_qt5-qttools_qhelpgenerator-qt5.sh @@ -23,6 +23,7 @@ function pre_test() { LOG_INFO "Start to prepare the test environment." DNF_INSTALL "qt5-qttools qt5-doctools" qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') + cp ../assistant.qhp ./ LOG_INFO "End to prepare the test environment." } @@ -46,7 +47,7 @@ function run_test() { function post_test() { LOG_INFO "Start to restore the test environment." DNF_REMOVE "qt5-qttools qt5-doctools" - rm -rf outputfile + rm -rf outputfile assistant.qhp LOG_INFO "End to restore the test environment." } diff --git a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh index d8f7153..68a4969 100644 --- a/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh +++ b/testcases/cli-test/qt5-qttools/oe_test_qt5-qttools_qt5-qttools-devel/oe_test_qt5-qttools_qt5-qttools-devel.sh @@ -23,8 +23,9 @@ function pre_test() { LOG_INFO "Start to prepare the test environment." DNF_INSTALL "qt5-qttools qt5-qttools-devel" qt5_version=$(rpm -qa qt5-qttools | awk -F '-' '{print $3}') - cp /usr/lib64/qt5/plugins/designer/libcontainerextension.so ./example.so - cp ../oe_test_qt5-qttools_qhelpgenerator-qt5/assistant.qhp ./ + example_so=$(rpm -ql qt5-qttools-devel | grep "libcontainerextension.so") + cp $example_so ./example.so + cp ../assistant.qhp ./ LOG_INFO "End to prepare the test environment." } diff --git a/testcases/cli-test/tcllib/oe_test_tcllib_page.sh b/testcases/cli-test/tcllib/oe_test_tcllib_page.sh index 14dc958..78e4566 100644 --- a/testcases/cli-test/tcllib/oe_test_tcllib_page.sh +++ b/testcases/cli-test/tcllib/oe_test_tcllib_page.sh @@ -26,7 +26,7 @@ function pre_test() { systemctl restart xinetd tcl_ver=$(rpm -qa tcl | awk -F '-' '{print $2}' | awk -F '.' '{print $1"."$2}') tcllib_ver=$(rpm -qa tcllib | awk -F '-' '{print $2}') - tcldir=$(echo /usr/share/tcl${tcl_ver}/tcllib-${tcllib_ver}/page/plugins) + tcldir=$(rpm -ql tcllib | grep plugins | head -n 1) LOG_INFO "End to prepare the test environment." } -- Gitee