1 Star 0 Fork 0

pantao / DES_Encryption

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
README.md 367 Bytes
一键复制 编辑 原始数据 按行查看 历史
pantao 提交于 2020-10-13 20:53 . Update README.md

DES_Encryption

本科的时候写的 _(:з」∠)_

这个项目现在主要用来测试VCS+Verdi环境是否可用 👻

DES Encryption Verilog

use iverilog:

make ivlsim_run

use vcs:

make simv_run
make verdi

Compile verilog files and generate fsdb wave file with Synopsys VCS, then view design and wave in Verdi.

1
https://gitee.com/pantao1227/DES_Encryption.git
git@gitee.com:pantao1227/DES_Encryption.git
pantao1227
DES_Encryption
DES_Encryption
master

搜索帮助