4 Star 12 Fork 4

RV少年 (RV4Kids) / RVWeekly

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
RV与芯片评论.20201114.第16期.md 27.70 KB
一键复制 编辑 原始数据 按行查看 历史

RV与芯片评论.20201114.第16期


本期概要

  1. 噱头:初中生5天开发出一个5级流水线的RISC-V
  2. MIPS两家公司宣布支持RISC-V指令集
  3. 欧洲处理器计划公布路线图
  4. Sipeed+平头哥:玄铁906是K210之后的下一块开发板
  5. 下周即将召开 Edge AI Summit
  6. Google把开源“魔爪”伸向了ASIC设计

重点聚焦

本周结束的会议

即将召开的会议

相关周报


技术动态

Linux动态

"Linux 5.10-rc3 Released As A "Normal" RC3 Version (From www.phoronix.com 2020.11.14)"

Mail Archived

其他动态

KVM

QEMU

一周问答

社区动态


产业风云

评论文集


其他动态

视频推荐

博文推荐


一周论文


### [A Minimal RISC-V Vector Processor for Embedded Systems](https://ieeexplore.ieee.org/abstract/document/9232940) M Johns, TJ Kazmierski - 2020 Forum for Specification and Design Languages …, 2020
This paper presents the first RISC-V vector processor design aimed at
microcontrollers that uses the new RISC-V 'V'extension for vectors, part of the open-
source RISC-V instruction set architecture (ISA). Being aimed at small embedded …

Following the Pebble Trail: Extending Return-Oriented Programming to RISC-V

BP Deac, A Colesa - Proceedings of the 2020 ACM SIGSAC Conference on …, 2020
It is widely known that return-oriented programming (ROP) attack can be mounted on
x86, ARM and SPARC architectures. However, it remained an open question if ROP
was possible on RISC-V, a new and promising free and open instruction set …

Functional and Formal Verification on submodules of a Vector Processing Unit based on RISC-**V **V-extension

VL Guglielmi - 2020
This thesis was developed while working at Barcelona Supercomputing Center, a
research center specialized in High Performance Computing and investigation in
many fields, such as cloud computing, bioinformatics, material science and more …

A Vector Processing Unit implementation for RISC-**V **Vector Extension: Functional Verification and Assertions on submodules.

L Valente - 2020
Power dissipation and Energy consumption of digital circuits has emerged as an
important design parameter in the evaluation of microelectronic circuits. This has led
electronic architects to value Parallel Architectures that allow to perform many …

[PDF] Implementing the Load Slice Core on a RISC-**V **based microarchitecture

A Dalbom, T Svensson - 2020
As cores have become better at exposing Instruction-Level Parallelism (ILP), they
have become bigger, more complex, and consumes more power. These cores are
approaching the Power-and Memory-wall quickly. A new microarchitecture proposed …

[PDF] A Vector Processing Unit implementation for RISC-**V **Vector Extension: Functional Verification and Assertions on submodules

L Lavagno, N Sonmez, L Valente
Power dissipation and Energy consumption of digital circuits have emerged as
important design parameters in the evaluation of microelectronic circuits. This has
led electronic architects to value Parallel Architectures that allow to perform many …

Following the Pebble Trail: Extending Return-Oriented Programming to RISC-V

BP Deac, A Colesa - Proceedings of the 2020 ACM SIGSAC Conference on …, 2020
It is widely known that return-oriented programming (ROP) attack can be mounted on
x86, ARM and SPARC architectures. However, it remained an open question if ROP
was possible on RISC-V, a new and promising free and open instruction set …

A Vector Processing Unit implementation for RISC-**V **Vector Extension: Functional Verification and Assertions on submodules.

L Valente - 2020
Power dissipation and Energy consumption of digital circuits has emerged as an
important design parameter in the evaluation of microelectronic circuits. This has led
electronic architects to value Parallel Architectures that allow to perform many …

[PDF] Implementing the Load Slice Core on a RISC-**V **based microarchitecture

A Dalbom, T Svensson - 2020
As cores have become better at exposing Instruction-Level Parallelism (ILP), they
have become bigger, more complex, and consumes more power. These cores are
approaching the Power-and Memory-wall quickly. A new microarchitecture proposed …

[PDF] A Vector Processing Unit implementation for RISC-**V **Vector Extension: Functional Verification and Assertions on submodules

L Lavagno, N Sonmez, L Valente
Power dissipation and Energy consumption of digital circuits have emerged as
important design parameters in the evaluation of microelectronic circuits. This has
led electronic architects to value Parallel Architectures that allow to perform many …

Towards Designing a Secure RISC-**V **System-on-Chip: ITUS

VBY Kumar, S Deb, N Gupta, S Bhasin, J Haj-Yahya… - Journal of Hardware and …, 2020
A rising tide of exploits, in the recent years, following a steady discovery of the many
vulnerabilities pervasive in modern computing systems has led to a growing number
of studies in designing systems-on-chip (SoCs) with security as a first-class …

[HTML] A RISC-**V **Simulator and Benchmark Suite for Designing and Evaluating Vector Architectures

C Ramírez, CA Hernández, O Palomar, O Unsal… - ACM Transactions on …, 2020
Vector architectures lack tools for research. Consider the gem5 simulator, which is
possibly the leading platform for computer-system architecture research.
Unfortunately, gem5 does not have an available distribution that includes a flexible …

Design and Implementation of a 32-bit ISA RISC-**V **Processor Core using Virtex-7 and Virtex-UltraScale

A Singh, N Franklin, N Gaur, P Bhulania - 2020 IEEE 5th International Conference on …, 2020
In this paper, a 32-bit Datapath with RISC-**V **instruction set architecture based on
RV32I CPU instruction set has been designed. Furthermore, through analysis of
function and theory of RISC-**V **CPU instruction set, the processor has been optimized …

A RISC-**V **Processor Design for Transparent Tracing

I Gamino del Río, A Martínez Hellín, Ó R Polo… - Electronics, 2020
Code instrumentation enables the observability of an embedded software system
during its execution. A usage example of code instrumentation is the estimation of
“worst-case execution time” using hybrid analysis. This analysis combines static …

Towards Quality-Driven Approximate Software Generation for Accurate Hardware: Work-in-Progress

J Castro-Godínez, M Shafique, J Henkel - 2020 International Conference on …, 2020
… We present results of automated approximate software generated with AxSWGen and
executed on a RISC-V processor (SiFive HiFive1 board), achieving up to 50% energy
reduction for a 5% image degradation for an approximate Gaussian filter …

Hardware support for managed languages: an old idea whose time has finally come?(keynote)

M Maas - Proceedings of the 17th International Conference on …, 2020
… efficiently than a CPU. I will also talk about current work within the open-source
RISC-V project on developing standard extensions for managed-language support
in the context of the free and open RISC-V ISA. Finally, I will …

Hardware support for a novel variable precision floating point format in a scientific computing environment.

R Alidori - 2020
… and execution latency. Besides the SoA, three additional formats are proposed in
this work: Custom Posit, Not Contiguous Posit and Modified Posit. This work is
implemented in a RISC-V environment. This architecture supports …

[PDF] Design and Integration of a Debug Unit for Heterogeneous System-on-Chip Architectures

G Tombesi - 2020
… integration challenges in heterogeneous systems. In most of the cases, the instruction
set architecture (ISA) of the RISC-V1 project is leveraged for the processor cores of
the proposed platforms. Among the existing implementations …

[PDF] SMALL BUT MIGHTY: EMERGING MARKET TRENDS ON THE CUTTING EDGE OF CHANGE

F Templeton
… The first biometric wearable containing an “edge AI chip” ie,
microprocessors embedded with machine-learning algorithms, was based
on open-source RISC-V chip architecture, launched by scientists at the …

Fault Classification and Vulnerability Analysis of Microprocessors

P Talluri - 2020
… Its main steps comprises design instrumentation, simulation based fault injection and
automatic fault classification. RISC-V is chosen as the target architecture due to its open
source nature and its increasing adoption by academia and industry …

[PDF] Benchmarking micro-core architectures for detecting disasters at the edge

M Jamieson, N Brown - arXiv preprint arXiv:2011.04983, 2020
… When choosing an IoT architecture, whether it be a physical chip or
soft-core, it is important that the choice made is a good one, however with
over 40 implementations of the RISC-V architecture alone, the ability to …

[PDF] Automated fault injection in Verilog hardware designs

JK Szewczyk
… The tool also worked correctly on larger designs: an Intel 8051‑compatible
core and a RISCV processor used in labs for the CArD course. Page 3.
Contents … 3 • Generating correct Verilog with fault injection for a RISCV code …

Progress-aware Dynamic Slack Exploitation in Mixed-critical Systems: Work-in-Progress

A Kritikakou, S Skalistis - 2020 International Conference on Embedded Software …, 2020
… In this preliminary experimental set-up, we consider the PULP RISC-V
processor of GAP8 platform [10] as our platform model … [10] E. Flamand,
D. Rossi, F. Conti, I. Loi, A. Pullini, F. Rotenberg, and L. Benini, “Gap-8: A …

[PDF] Open-Source EDA: If We Build It, Who Will Come?

AB Kahng
… Following are some preliminary thoughts.5 Open-source EDA is part of a movement. The
open hardware community will use OpenROAD. A vi- brant open-source hardware
ecosystem sparked by RISC-V has grown rapidly in recent years [32], [34], [45] …

Performance and power consumption analysis of Arm Scalable Vector Extension

T Odajima, Y Kodama, M Sato - The Journal of Supercomputing, 2020
… Therefore, we employ gem5, which is a general-purpose processor simulator, used
to evaluate SVE architecture. Additionally, gem5 supports several kinds of processors
such as Alpha, Arm, SPARC, x86, RISC-V, and GPU …


RISC-V与芯片评论编辑部 - RISC-V和芯片动态周报
每周六发布
欢迎批评,指正,评论和加入

关于本刊:

  • 非特殊注明,本刊消息均来自于网络,如有版权问题,我们会立刻处理。
  • 本刊部分消息来源

| 微信公众号
image.png | Gitee

https://gitee.com/inspur-risc-v/RVWeekly | Github

https://github.com/inspur-risc-v/RVWeekly | 语雀

https://www.yuque.com/riscv/rvnews | | --- | --- | --- | --- |



1
https://gitee.com/RV4Kids/RVWeekly.git
git@gitee.com:RV4Kids/RVWeekly.git
RV4Kids
RVWeekly
RVWeekly
master

搜索帮助

53164aa7 5694891 3bd8fe86 5694891