1 Star 0 Fork 1

biasbb / FPGA-ZynqNet

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
run_hls.tcl 663 Bytes
一键复制 编辑 原始数据 按行查看 历史
pp-Innovate 提交于 2017-06-16 16:44 . initial commit
#*******************************************************************************
# Create a project
open_project -reset proj_ZynqNet
# Add design files
add_files ProcessingElement.cpp
add_files Buffers.cpp
add_files Controller.cpp
# Add test bench & files
add_files -tb TestBench.cpp
add_files -tb data
# Set the top-level function
set_top controller_3x3
# ########################################################
# Create a solution
open_solution -reset solution1
# Define technology and clock rate
set_part {xc7k325tffg900-2}
create_clock -period 150MHz
exit
# XSIP watermark, do not delete 67d7842dbbe25473c3c32b93c0da8047785f30d78e8a024de1b57352245f9689
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
C++
1
https://gitee.com/biasbb/FPGA-ZynqNet.git
git@gitee.com:biasbb/FPGA-ZynqNet.git
biasbb
FPGA-ZynqNet
FPGA-ZynqNet
master

搜索帮助

344bd9b3 5694891 D2dac590 5694891