1 Star 3 Fork 0

jmqian1009 / 多周期RISC-V CPU设计

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
BranchUnit.sv 1.54 KB
一键复制 编辑 原始数据 按行查看 历史
jmqian1009 提交于 2022-03-18 09:20 . 修复了算数右移的bug
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 2022/03/16 14:58:00
// Design Name:
// Module Name: BranchUnit
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
`include "Parameters.v"
import Params::*;
module BranchUnit(
input logic [31:0] Reg1, //第一个源操作数
input logic [31:0] Reg2, //第二个源操作数
input BType BrType, //条件分支指令类型
output logic BranchE //是否跳转
);
always_comb
begin
case(BrType)
BEQ:if(Reg1==Reg2)
BranchE=1;
else
BranchE=0;
BNE:if(Reg1!=Reg2)
BranchE=1;
else
BranchE=0;
BLT:if($signed(Reg1)<$signed(Reg1)) //有符号数比较
BranchE=1;
else
BranchE=0;
BLTU:if(Reg1<Reg2)
BranchE=1;
else
BranchE=0;
BGE:if($signed(Reg1)>=$signed(Reg2)) //有符号数比较
BranchE=1;
else
BranchE=0;
BGEU:if(Reg1>=Reg2)
BranchE=1;
else
BranchE=0;
default:BranchE=0;
endcase
end
endmodule
1
https://gitee.com/jmqian1009/multi-cycle-risc-v-cpu-design.git
git@gitee.com:jmqian1009/multi-cycle-risc-v-cpu-design.git
jmqian1009
multi-cycle-risc-v-cpu-design
多周期RISC-V CPU设计
master

搜索帮助