当前仓库属于关闭状态,部分功能使用受限,详情请查阅 仓库状态说明
2 Star 0 Fork 1

Preknight / FPGA_Huffman
关闭

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
Top_wave.fdo 409 Bytes
一键复制 编辑 原始数据 按行查看 历史
######################################################################
##
## Filename: Top_wave.fdo
## Created on: Sun Jun 17 15:51:31 中国标准时间 2018
##
## Auto generated by Project Navigator for Post-Behavioral Simulation
##
## You may want to edit this file to control your simulation windows.
##
######################################################################
add wave *
add wave /glbl/GSR
Verilog
1
https://gitee.com/longkoong/FPGA_Huffman.git
git@gitee.com:longkoong/FPGA_Huffman.git
longkoong
FPGA_Huffman
FPGA_Huffman
master

搜索帮助