1 Star 0 Fork 0

whutddk / my_verilog

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
DIV.v 1.10 KB
一键复制 编辑 原始数据 按行查看 历史
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 2018/03/24 13:46:29
// Design Name:
// Module Name: DIV
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module DIV(
input RST,
input CLK_IN,
output CLK_OUT,
input [7:0] DIV_NUM
);
reg clkout_reg = 1'b0;
reg[7:0] div_cnt = 8'd0;
assign CLK_OUT = clkout_reg;
always@(posedge CLK_IN or negedge RST)
begin
if ( !RST )
begin
div_cnt <= 8'd0;
clkout_reg <= 1'b0;
end
else
begin
if ( div_cnt == DIV_NUM - 8'd1 )
begin
div_cnt <= 8'd0;
clkout_reg <= ~clkout_reg;
end
else
begin
div_cnt <= div_cnt + 8'd1;
clkout_reg <= clkout_reg;
end
end
end
endmodule
Verilog
1
https://gitee.com/whutddk/my_verilog.git
git@gitee.com:whutddk/my_verilog.git
whutddk
my_verilog
my_verilog
master

搜索帮助