3 Star 0 Fork 0

TYTY / Computer-Organization

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
mux4.v 299 Bytes
一键复制 编辑 原始数据 按行查看 历史
`timescale 1ns / 1ps
module mux4 #(parameter WIDTH = 8)(
input wire[WIDTH-1:0] d0, d1, d2, d3,
input wire[1:0] s,
output wire[WIDTH-1:0] y
);
assign y = (s == 2'b00) ? d0 :
(s == 2'b01) ? d1 :
(s == 2'b10) ? d2 :
(s == 2'b11) ? d3 : d0;
endmodule
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
1
https://gitee.com/Yu_tianyin/computer-organization.git
git@gitee.com:Yu_tianyin/computer-organization.git
Yu_tianyin
computer-organization
Computer-Organization
master

搜索帮助

344bd9b3 5694891 D2dac590 5694891